Parent directory/ | - | - |
APKINDEX.tar.gz | 916.7 KiB | 2025-Jun-08 16:55 |
py3-owslib-0.34.0-r0.apk | 194.7 KiB | 2025-Jun-08 16:55 |
py3-owslib-pyc-0.34.0-r0.apk | 423.9 KiB | 2025-Jun-08 16:55 |
tinygltf-2.9.6-r0.apk | 130.3 KiB | 2025-Jun-08 16:33 |
tinygltf-dev-2.9.6-r0.apk | 57.2 KiB | 2025-Jun-08 16:33 |
zfs-stable-dev-6.15.1-r0.apk | 465.5 KiB | 2025-Jun-08 16:07 |
zfs-stable-6.15.1-r0.apk | 28.4 MiB | 2025-Jun-08 16:07 |
perl-memoize-expirelru-doc-0.56-r0.apk | 3.8 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-inflect-number-1.12-r0.apk | 3.2 KiB | 2025-Jun-08 16:07 |
raku-digest-sha1-native-0.06-r0.apk | 46.2 KiB | 2025-Jun-08 16:07 |
perl-html-gumbo-doc-0.18-r1.apk | 5.4 KiB | 2025-Jun-08 16:07 |
raku-shell-command-doc-1.1-r0.apk | 3.5 KiB | 2025-Jun-08 16:07 |
perl-alien-base-modulebuild-doc-1.17-r0.apk | 53.4 KiB | 2025-Jun-08 16:07 |
perl-lingua-stem-snowball-da-1.01-r0.apk | 4.3 KiB | 2025-Jun-08 16:07 |
raku-terminal-quickcharts-0.0.2-r0.apk | 214.0 KiB | 2025-Jun-08 16:07 |
perl-alien-base-modulebuild-1.17-r0.apk | 21.7 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-tagger-0.31-r0.apk | 545.8 KiB | 2025-Jun-08 16:07 |
perl-devel-findperl-0.016-r0.apk | 4.7 KiB | 2025-Jun-08 16:07 |
raku-file-ignore-doc-1.2-r0.apk | 4.2 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3.6 KiB | 2025-Jun-08 16:07 |
perl-snowball-swedish-1.2-r0.apk | 5.2 KiB | 2025-Jun-08 16:07 |
perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 3.0 KiB | 2025-Jun-08 16:07 |
reaction-2.0.1-r0.apk | 1.2 MiB | 2025-Jun-08 16:07 |
perl-devel-findperl-doc-0.016-r0.apk | 3.8 KiB | 2025-Jun-08 16:07 |
raku-terminal-quickcharts-doc-0.0.2-r0.apk | 3.1 KiB | 2025-Jun-08 16:07 |
raku-file-find-doc-0.2.1-r0.apk | 4.2 KiB | 2025-Jun-08 16:07 |
raku-file-find-0.2.1-r0.apk | 17.0 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-number-isordinal-0.05-r0.apk | 3.1 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3.4 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-findnumber-1.32-r0.apk | 3.3 KiB | 2025-Jun-08 16:07 |
reaction-tools-2.0.1-r0.apk | 4.4 KiB | 2025-Jun-08 16:07 |
perl-shell-config-generate-0.34-r0.apk | 7.8 KiB | 2025-Jun-08 16:07 |
raku-librarymake-doc-1.0.5-r0.apk | 4.5 KiB | 2025-Jun-08 16:07 |
perl-shell-config-generate-doc-0.34-r0.apk | 6.8 KiB | 2025-Jun-08 16:07 |
raku-protocol-mqtt-doc-0.0.4-r0.apk | 2.3 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-tagger-doc-0.31-r0.apk | 4.5 KiB | 2025-Jun-08 16:07 |
raku-librarymake-1.0.5-r0.apk | 37.4 KiB | 2025-Jun-08 16:07 |
perl-snowball-swedish-doc-1.2-r0.apk | 3.9 KiB | 2025-Jun-08 16:07 |
raku-digest-sha1-native-doc-0.06-r0.apk | 2.4 KiB | 2025-Jun-08 16:07 |
perl-lingua-stem-2.31-r0.apk | 12.4 KiB | 2025-Jun-08 16:07 |
perl-snowball-norwegian-doc-1.2-r0.apk | 3.9 KiB | 2025-Jun-08 16:07 |
perl-html-gumbo-0.18-r1.apk | 13.2 KiB | 2025-Jun-08 16:07 |
perl-lingua-stem-doc-2.31-r0.apk | 33.6 KiB | 2025-Jun-08 16:07 |
raku-file-which-doc-1.0.4-r0.apk | 3.6 KiB | 2025-Jun-08 16:07 |
raku-file-which-1.0.4-r0.apk | 105.6 KiB | 2025-Jun-08 16:07 |
linux-stable-doc-6.15.1-r0.apk | 13.4 MiB | 2025-Jun-08 16:07 |
raku-protocol-mqtt-0.0.4-r0.apk | 223.4 KiB | 2025-Jun-08 16:07 |
perl-alien-libgumbo-doc-0.05-r0.apk | 4.8 KiB | 2025-Jun-08 16:07 |
perl-memoize-expirelru-0.56-r0.apk | 6.2 KiB | 2025-Jun-08 16:07 |
perl-alien-libgumbo-0.05-r0.apk | 537.7 KiB | 2025-Jun-08 16:07 |
perl-snowball-norwegian-1.2-r0.apk | 5.3 KiB | 2025-Jun-08 16:07 |
reaction-openrc-2.0.1-r0.apk | 1.8 KiB | 2025-Jun-08 16:07 |
raku-file-ignore-1.2-r0.apk | 46.4 KiB | 2025-Jun-08 16:07 |
raku-shell-command-1.1-r0.apk | 40.1 KiB | 2025-Jun-08 16:07 |
perl-lingua-en-findnumber-doc-1.32-r0.apk | 3.5 KiB | 2025-Jun-08 16:07 |
perl-module-path-0.19-r0.apk | 4.7 KiB | 2025-Jun-08 16:07 |
perl-module-path-doc-0.19-r0.apk | 5.8 KiB | 2025-Jun-08 16:07 |
linux-stable-6.15.1-r0.apk | 48.2 MiB | 2025-Jun-08 16:07 |
linux-stable-dev-6.15.1-r0.apk | 15.7 MiB | 2025-Jun-08 16:07 |
edit-doc-1.0.0-r0.apk | 2.2 KiB | 2025-Jun-08 16:07 |
edit-1.0.0-r0.apk | 218.0 KiB | 2025-Jun-08 16:07 |
cargo-udeps-0.1.56-r2.apk | 4.1 MiB | 2025-Jun-08 16:07 |
cargo-udeps-doc-0.1.56-r2.apk | 7.5 KiB | 2025-Jun-08 16:07 |
faust-tools-2.79.3-r0.apk | 120.3 KiB | 2025-Jun-07 17:02 |
faust-static-2.79.3-r0.apk | 515.5 KiB | 2025-Jun-07 17:02 |
faust-vim-2.79.3-r0.apk | 2.6 KiB | 2025-Jun-07 17:02 |
faust-doc-2.79.3-r0.apk | 16.7 MiB | 2025-Jun-07 17:02 |
faust-dev-2.79.3-r0.apk | 1.4 MiB | 2025-Jun-07 17:02 |
faust-2.79.3-r0.apk | 7.4 MiB | 2025-Jun-07 17:02 |
asdf-0.18.0-r0.apk | 1.6 MiB | 2025-Jun-07 15:21 |
asdf-doc-0.18.0-r0.apk | 2.2 KiB | 2025-Jun-07 15:21 |
klong-20221212-r0.apk | 326.4 KiB | 2025-Jun-07 15:16 |
perl-lingua-en-words2nums-0.18-r0.apk | 4.6 KiB | 2025-Jun-07 14:55 |
perl-lingua-en-words2nums-doc-0.18-r0.apk | 3.5 KiB | 2025-Jun-07 14:55 |
perl-shell-guess-doc-0.10-r0.apk | 5.8 KiB | 2025-Jun-07 14:55 |
perl-shell-guess-0.10-r0.apk | 6.0 KiB | 2025-Jun-07 14:55 |
perl-html-formatexternal-doc-26-r0.apk | 23.0 KiB | 2025-Jun-07 14:55 |
perl-html-formatexternal-26-r0.apk | 17.1 KiB | 2025-Jun-07 14:55 |
perl-encode-detect-1.01-r0.apk | 68.2 KiB | 2025-Jun-07 09:12 |
perl-encode-detect-doc-1.01-r0.apk | 4.8 KiB | 2025-Jun-07 09:12 |
raku-meta6-0.0.30-r0.apk | 108.3 KiB | 2025-Jun-07 07:43 |
raku-if-doc-0.1.3-r0.apk | 2.6 KiB | 2025-Jun-07 07:43 |
raku-json-name-doc-0.0.7-r0.apk | 2.8 KiB | 2025-Jun-07 07:43 |
raku-digest-hmac-doc-1.0.6_git20231116-r0.apk | 3.3 KiB | 2025-Jun-07 07:43 |
raku-cro-core-0.8.10-r0.apk | 775.8 KiB | 2025-Jun-07 07:43 |
raku-io-path-childsecure-1.2-r0.apk | 10.5 KiB | 2025-Jun-07 07:43 |
raku-io-path-childsecure-doc-1.2-r0.apk | 2.9 KiB | 2025-Jun-07 07:43 |
raku-cbor-simple-0.1.4-r0.apk | 172.9 KiB | 2025-Jun-07 07:43 |
raku-datetime-parse-doc-0.9.3-r0.apk | 3.0 KiB | 2025-Jun-07 07:43 |
raku-http-hpack-1.0.3-r0.apk | 88.0 KiB | 2025-Jun-07 07:43 |
raku-json-marshal-doc-0.0.25-r0.apk | 3.7 KiB | 2025-Jun-07 07:43 |
raku-json-name-0.0.7-r0.apk | 36.7 KiB | 2025-Jun-07 07:43 |
raku-digest-1.1.0-r0.apk | 862.5 KiB | 2025-Jun-07 07:43 |
raku-json-class-doc-0.0.21-r0.apk | 3.3 KiB | 2025-Jun-07 07:43 |
raku-cro-core-doc-0.8.10-r0.apk | 2.4 KiB | 2025-Jun-07 07:43 |
raku-if-0.1.3-r0.apk | 27.9 KiB | 2025-Jun-07 07:43 |
raku-tinyfloats-0.0.5-r0.apk | 34.7 KiB | 2025-Jun-07 07:43 |
raku-json-optin-0.0.2-r0.apk | 22.1 KiB | 2025-Jun-07 07:43 |
raku-digest-doc-1.1.0-r0.apk | 2.8 KiB | 2025-Jun-07 07:43 |
raku-log-timeline-doc-0.5.2-r0.apk | 5.4 KiB | 2025-Jun-07 07:43 |
raku-json-marshal-0.0.25-r0.apk | 70.8 KiB | 2025-Jun-07 07:43 |
raku-oo-monitors-doc-1.1.5-r0.apk | 2.9 KiB | 2025-Jun-07 07:43 |
raku-http-hpack-doc-1.0.3-r0.apk | 3.2 KiB | 2025-Jun-07 07:43 |
raku-cbor-simple-doc-0.1.4-r0.apk | 7.0 KiB | 2025-Jun-07 07:43 |
raku-docker-file-doc-1.1-r0.apk | 2.5 KiB | 2025-Jun-07 07:43 |
raku-json-class-0.0.21-r0.apk | 47.9 KiB | 2025-Jun-07 07:43 |
raku-base64-doc-0.1.0-r0.apk | 2.8 KiB | 2025-Jun-07 07:43 |
raku-oo-monitors-1.1.5-r0.apk | 13.0 KiB | 2025-Jun-07 07:43 |
raku-meta6-doc-0.0.30-r0.apk | 3.2 KiB | 2025-Jun-07 07:43 |
raku-tinyfloats-doc-0.0.5-r0.apk | 4.1 KiB | 2025-Jun-07 07:43 |
raku-datetime-parse-0.9.3-r0.apk | 48.4 KiB | 2025-Jun-07 07:43 |
raku-json-optin-doc-0.0.2-r0.apk | 2.7 KiB | 2025-Jun-07 07:43 |
raku-log-timeline-0.5.2-r0.apk | 278.1 KiB | 2025-Jun-07 07:43 |
raku-crypt-random-0.4.1-r0.apk | 109.1 KiB | 2025-Jun-07 07:43 |
raku-json-unmarshal-doc-0.18-r0.apk | 3.3 KiB | 2025-Jun-07 07:43 |
raku-base64-0.1.0-r0.apk | 20.1 KiB | 2025-Jun-07 07:43 |
raku-crypt-random-doc-0.4.1-r0.apk | 2.5 KiB | 2025-Jun-07 07:43 |
raku-docker-file-1.1-r0.apk | 131.0 KiB | 2025-Jun-07 07:43 |
raku-digest-hmac-1.0.6_git20231116-r0.apk | 7.2 KiB | 2025-Jun-07 07:43 |
raku-json-unmarshal-0.18-r0.apk | 72.0 KiB | 2025-Jun-07 07:43 |
perl-text-german-0.06-r0.apk | 13.1 KiB | 2025-Jun-07 02:00 |
perl-lingua-pt-stemmer-0.02-r0.apk | 5.4 KiB | 2025-Jun-07 02:00 |
perl-text-german-doc-0.06-r0.apk | 3.0 KiB | 2025-Jun-07 02:00 |
perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4.3 KiB | 2025-Jun-07 02:00 |
perl-lingua-stem-fr-doc-0.02-r0.apk | 3.9 KiB | 2025-Jun-06 14:57 |
perl-lingua-stem-ru-0.04-r0.apk | 4.1 KiB | 2025-Jun-06 14:57 |
perl-lingua-stem-ru-doc-0.04-r0.apk | 3.7 KiB | 2025-Jun-06 14:57 |
perl-lingua-stem-fr-0.02-r0.apk | 6.1 KiB | 2025-Jun-06 14:57 |
perl-lingua-stem-it-doc-0.02-r0.apk | 3.5 KiB | 2025-Jun-06 14:57 |
perl-lingua-stem-it-0.02-r0.apk | 5.2 KiB | 2025-Jun-06 14:57 |
opentelemetry-cpp-1.21.0-r0.apk | 532.3 KiB | 2025-Jun-06 09:37 |
opentelemetry-cpp-exporter-otlp-common-1.21.0-r..> | 41.4 KiB | 2025-Jun-06 09:37 |
opentelemetry-cpp-exporter-otlp-http-1.21.0-r0.apk | 74.1 KiB | 2025-Jun-06 09:37 |
opentelemetry-cpp-exporter-zipkin-1.21.0-r0.apk | 42.7 KiB | 2025-Jun-06 09:37 |
opentelemetry-cpp-dev-1.21.0-r0.apk | 558.2 KiB | 2025-Jun-06 09:37 |
opentelemetry-cpp-exporter-otlp-grpc-1.21.0-r0.apk | 49.1 KiB | 2025-Jun-06 09:37 |
py3-sssd-2.11.0-r0.apk | 54.6 KiB | 2025-Jun-06 08:59 |
nwg-panel-doc-0.10.6-r0.apk | 4.4 KiB | 2025-Jun-06 08:59 |
nwg-panel-pyc-0.10.6-r0.apk | 268.2 KiB | 2025-Jun-06 08:59 |
py3-sssd-pyc-2.11.0-r0.apk | 48.6 KiB | 2025-Jun-06 08:59 |
sssd-openrc-2.11.0-r0.apk | 1.7 KiB | 2025-Jun-06 08:59 |
nwg-panel-0.10.6-r0.apk | 281.9 KiB | 2025-Jun-06 08:59 |
sssd-dev-2.11.0-r0.apk | 14.8 KiB | 2025-Jun-06 08:59 |
sssd-2.11.0-r0.apk | 2.0 MiB | 2025-Jun-06 08:59 |
lizardfs-cgiserv-3.13.0-r16.apk | 7.4 KiB | 2025-Jun-06 05:51 |
lizardfs-master-openrc-3.13.0-r16.apk | 1.6 KiB | 2025-Jun-06 05:51 |
lizardfs-chunkserver-openrc-3.13.0-r16.apk | 1.7 KiB | 2025-Jun-06 05:51 |
lizardfs-3.13.0-r16.apk | 96.2 KiB | 2025-Jun-06 05:51 |
lizardfs-doc-3.13.0-r16.apk | 11.4 KiB | 2025-Jun-06 05:51 |
lizardfs-master-3.13.0-r16.apk | 702.1 KiB | 2025-Jun-06 05:51 |
lizardfs-metalogger-3.13.0-r16.apk | 112.1 KiB | 2025-Jun-06 05:51 |
lizardfs-cgiserv-openrc-3.13.0-r16.apk | 2.0 KiB | 2025-Jun-06 05:51 |
lizardfs-bash-completion-3.13.0-r16.apk | 1.9 KiB | 2025-Jun-06 05:51 |
lizardfs-client-3.13.0-r16.apk | 925.5 KiB | 2025-Jun-06 05:51 |
lizardfs-cgi-3.13.0-r16.apk | 31.5 KiB | 2025-Jun-06 05:51 |
lizardfs-metalogger-openrc-3.13.0-r16.apk | 1.6 KiB | 2025-Jun-06 05:51 |
lizardfs-chunkserver-3.13.0-r16.apk | 269.7 KiB | 2025-Jun-06 05:51 |
stalwart-mail-0.12.4-r0.apk | 15.1 MiB | 2025-Jun-05 14:10 |
stalwart-mail-openrc-0.12.4-r0.apk | 2.1 KiB | 2025-Jun-05 14:10 |
stalwart-cli-0.12.4-r0.apk | 2.2 MiB | 2025-Jun-05 14:10 |
dnscontrol-doc-4.21.0-r0.apk | 2.3 KiB | 2025-Jun-05 06:02 |
dnscontrol-4.21.0-r0.apk | 14.4 MiB | 2025-Jun-05 06:02 |
zizmor-1.9.0-r0.apk | 2.9 MiB | 2025-Jun-04 22:56 |
zizmor-doc-1.9.0-r0.apk | 2.3 KiB | 2025-Jun-04 22:56 |
perl-moosex-markasmethods-0.15-r0.apk | 5.1 KiB | 2025-Jun-04 19:41 |
perl-class-unload-0.11-r0.apk | 2.6 KiB | 2025-Jun-04 19:41 |
perl-moosex-markasmethods-doc-0.15-r0.apk | 5.2 KiB | 2025-Jun-04 19:41 |
perl-class-unload-doc-0.11-r0.apk | 3.1 KiB | 2025-Jun-04 19:41 |
nlopt-doc-2.10.0-r1.apk | 22.8 KiB | 2025-Jun-04 19:34 |
nlopt-2.10.0-r1.apk | 159.7 KiB | 2025-Jun-04 19:34 |
nlopt-guile-2.10.0-r1.apk | 40.0 KiB | 2025-Jun-04 19:34 |
nlopt-octave-2.10.0-r1.apk | 25.6 KiB | 2025-Jun-04 19:34 |
nlopt-dev-2.10.0-r1.apk | 12.0 KiB | 2025-Jun-04 19:34 |
krdp-6.3.5-r0.apk | 156.9 KiB | 2025-Jun-04 12:51 |
krdp-lang-6.3.5-r0.apk | 50.4 KiB | 2025-Jun-04 12:51 |
py3-pytest-datadir-1.7.1-r0.apk | 6.6 KiB | 2025-Jun-04 12:51 |
py3-pytest-datadir-pyc-1.7.1-r0.apk | 4.9 KiB | 2025-Jun-04 12:51 |
goshs-doc-1.1.0-r0.apk | 2.3 KiB | 2025-Jun-04 12:51 |
goshs-1.1.0-r0.apk | 6.1 MiB | 2025-Jun-04 12:51 |
66-init-0.8.2.1-r0.apk | 1.5 KiB | 2025-Jun-03 19:11 |
66-dbg-0.8.2.1-r0.apk | 762.3 KiB | 2025-Jun-03 19:11 |
66-0.8.2.1-r0.apk | 306.9 KiB | 2025-Jun-03 19:11 |
66-doc-0.8.2.1-r0.apk | 190.4 KiB | 2025-Jun-03 19:11 |
66-dev-0.8.2.1-r0.apk | 1.6 MiB | 2025-Jun-03 19:11 |
trivy-0.63.0-r0.apk | 63.1 MiB | 2025-Jun-03 14:29 |
typos-lsp-0.1.38-r0.apk | 2.6 MiB | 2025-Jun-03 14:29 |
typos-lsp-doc-0.1.38-r0.apk | 2.3 KiB | 2025-Jun-03 14:29 |
wl-kbptr-full-0.3.0-r1.apk | 26.0 KiB | 2025-Jun-02 20:52 |
wl-kbptr-doc-0.3.0-r1.apk | 4.0 KiB | 2025-Jun-02 20:52 |
wl-kbptr-0.3.0-r1.apk | 20.6 KiB | 2025-Jun-02 20:52 |
nerdlog-doc-1.9.0-r0.apk | 12.3 KiB | 2025-Jun-02 18:15 |
nerdlog-1.9.0-r0.apk | 2.7 MiB | 2025-Jun-02 18:15 |
perl-moosex-nonmoose-0.27-r0.apk | 8.2 KiB | 2025-Jun-02 13:49 |
perl-moosex-nonmoose-doc-0.27-r0.apk | 9.4 KiB | 2025-Jun-02 13:49 |
anubis-1.19.1-r0.apk | 5.6 MiB | 2025-Jun-02 10:37 |
anubis-doc-1.19.1-r0.apk | 2.3 KiB | 2025-Jun-02 10:37 |
anubis-openrc-1.19.1-r0.apk | 1.8 KiB | 2025-Jun-02 10:37 |
ollama-0.9.0-r0.apk | 10.3 MiB | 2025-Jun-01 22:18 |
ollama-doc-0.9.0-r0.apk | 247.6 KiB | 2025-Jun-01 22:18 |
py3-caldav-1.6.0-r0.apk | 81.2 KiB | 2025-Jun-01 16:51 |
py3-caldav-pyc-1.6.0-r0.apk | 107.8 KiB | 2025-Jun-01 16:51 |
py3-ovos-config-pyc-1.2.2-r0.apk | 34.7 KiB | 2025-Jun-01 16:49 |
py3-ovos-config-1.2.2-r0.apk | 46.0 KiB | 2025-Jun-01 16:49 |
py3-ovos-plugin-manager-0.9.0-r0.apk | 93.7 KiB | 2025-Jun-01 16:49 |
py3-ovos-plugin-manager-pyc-0.9.0-r0.apk | 181.1 KiB | 2025-Jun-01 16:49 |
nvim-treesitter-0.10.0-r0.apk | 411.9 KiB | 2025-Jun-01 15:47 |
oblibs-dbg-0.3.4.0-r0.apk | 98.6 KiB | 2025-Jun-01 15:47 |
perl-catalyst-component-instancepercontext-0.00..> | 2.7 KiB | 2025-Jun-01 15:47 |
umtprd-1.6.8-r0.apk | 38.5 KiB | 2025-Jun-01 15:47 |
mediastreamer2-dev-5.3.100-r1.apk | 109.7 KiB | 2025-Jun-01 15:47 |
oblibs-0.3.4.0-r0.apk | 31.7 KiB | 2025-Jun-01 15:47 |
perl-catalyst-component-instancepercontext-doc-..> | 3.3 KiB | 2025-Jun-01 15:47 |
nvim-treesitter-doc-0.10.0-r0.apk | 31.3 KiB | 2025-Jun-01 15:47 |
oblibs-dev-0.3.4.0-r0.apk | 233.1 KiB | 2025-Jun-01 15:47 |
mediastreamer2-5.3.100-r1.apk | 314.5 KiB | 2025-Jun-01 15:47 |
umtprd-openrc-1.6.8-r0.apk | 1.8 KiB | 2025-Jun-01 15:47 |
mediastreamer2-doc-5.3.100-r1.apk | 107.7 KiB | 2025-Jun-01 15:47 |
baresip-3.20.0-r1.apk | 986.6 KiB | 2025-Jun-01 15:47 |
glow-bash-completion-2.1.1-r0.apk | 6.1 KiB | 2025-Jun-01 15:47 |
glow-2.1.1-r0.apk | 5.9 MiB | 2025-Jun-01 15:47 |
baresip-dev-3.20.0-r1.apk | 15.9 KiB | 2025-Jun-01 15:47 |
glow-fish-completion-2.1.1-r0.apk | 4.3 KiB | 2025-Jun-01 15:47 |
glow-zsh-completion-2.1.1-r0.apk | 4.0 KiB | 2025-Jun-01 15:47 |
glow-doc-2.1.1-r0.apk | 3.2 KiB | 2025-Jun-01 15:47 |
66-tools-dbg-0.1.2.0-r0.apk | 111.4 KiB | 2025-Jun-01 15:47 |
66-tools-doc-0.1.2.0-r0.apk | 39.4 KiB | 2025-Jun-01 15:47 |
66-tools-dev-0.1.2.0-r0.apk | 2.0 KiB | 2025-Jun-01 15:47 |
66-tools-0.1.2.0-r0.apk | 57.1 KiB | 2025-Jun-01 15:47 |
66-tools-nsrules-0.1.2.0-r0.apk | 2.9 KiB | 2025-Jun-01 15:47 |
rtl8812au-src-5.6.4.2_git20250530-r0.apk | 2.6 MiB | 2025-May-31 16:23 |
merge-usr-0_git20250530-r0.apk | 9.1 KiB | 2025-May-31 16:20 |
qgis-3.34.15-r4.apk | 43.2 MiB | 2025-May-31 10:32 |
qgis-server-3.34.15-r4.apk | 1.5 MiB | 2025-May-31 10:32 |
qgis-lang-3.34.15-r4.apk | 31.4 MiB | 2025-May-31 10:32 |
qgis-dev-3.34.15-r4.apk | 3.3 MiB | 2025-May-31 10:32 |
qgis-grass-3.34.15-r4.apk | 1.3 MiB | 2025-May-31 10:32 |
qgis-doc-3.34.15-r4.apk | 3.1 KiB | 2025-May-31 10:32 |
py3-rtree-1.4.0-r2.apk | 26.2 KiB | 2025-May-31 10:32 |
py3-rtree-pyc-1.4.0-r2.apk | 47.5 KiB | 2025-May-31 10:32 |
py3-qgis-3.34.15-r4.apk | 19.1 MiB | 2025-May-31 10:32 |
libspatialindex-dev-2.1.0-r0.apk | 21.4 KiB | 2025-May-31 10:32 |
libspatialindex-2.1.0-r0.apk | 290.1 KiB | 2025-May-31 10:32 |
asymptote-doc-3.04-r0.apk | 3.1 MiB | 2025-May-31 06:51 |
asymptote-3.04-r0.apk | 1.3 MiB | 2025-May-31 06:51 |
phpactor-2025.04.17.0-r0.apk | 3.5 MiB | 2025-May-30 15:00 |
sstp-client-dev-1.0.20-r2.apk | 5.1 KiB | 2025-May-30 10:24 |
sstp-client-doc-1.0.20-r2.apk | 4.9 KiB | 2025-May-30 10:24 |
sstp-client-1.0.20-r2.apk | 34.4 KiB | 2025-May-30 10:24 |
butane-0.24.0-r0.apk | 3.1 MiB | 2025-May-29 23:50 |
uclient-20241022-r0.apk | 14.6 KiB | 2025-May-29 23:50 |
kew-doc-3.3.3-r0.apk | 3.5 KiB | 2025-May-29 23:50 |
kew-3.3.3-r0.apk | 333.2 KiB | 2025-May-29 23:50 |
uclient-dev-20241022-r0.apk | 3.5 KiB | 2025-May-29 23:50 |
uclient-fetch-20241022-r0.apk | 10.7 KiB | 2025-May-29 23:50 |
py3-mbedtls-pyc-2.10.1-r3.apk | 27.0 KiB | 2025-May-29 11:58 |
py3-winacl-pyc-0.1.9-r1.apk | 131.2 KiB | 2025-May-29 11:58 |
pypykatz-pyc-0.6.11-r1.apk | 721.1 KiB | 2025-May-29 11:58 |
py3-minikerberos-pyc-0.4.6-r1.apk | 268.1 KiB | 2025-May-29 11:58 |
py3-unidns-0.0.1-r3.apk | 13.2 KiB | 2025-May-29 11:58 |
toss-1.1-r1.apk | 10.5 KiB | 2025-May-29 11:58 |
py3-msldap-0.5.15-r1.apk | 143.0 KiB | 2025-May-29 11:58 |
py3-msldap-pyc-0.5.15-r1.apk | 329.8 KiB | 2025-May-29 11:58 |
py3-minidump-pyc-0.0.24-r1.apk | 129.1 KiB | 2025-May-29 11:58 |
py3-mbedtls-2.10.1-r3.apk | 882.6 KiB | 2025-May-29 11:58 |
py3-unicrypto-0.0.10-r3.apk | 59.0 KiB | 2025-May-29 11:58 |
py3-unidns-examples-0.0.1-r3.apk | 2.6 KiB | 2025-May-29 11:58 |
py3-minidump-0.0.24-r1.apk | 63.5 KiB | 2025-May-29 11:58 |
py3-winacl-0.1.9-r1.apk | 82.7 KiB | 2025-May-29 11:58 |
py3-unicrypto-pyc-0.0.10-r3.apk | 92.4 KiB | 2025-May-29 11:58 |
pypykatz-0.6.11-r1.apk | 315.4 KiB | 2025-May-29 11:58 |
py3-minikerberos-0.4.6-r1.apk | 128.3 KiB | 2025-May-29 11:58 |
py3-unidns-pyc-0.0.1-r3.apk | 21.4 KiB | 2025-May-29 11:58 |
jackdaw-pyc-0.3.1-r2.apk | 364.2 KiB | 2025-May-29 11:58 |
py3-igraph-pyc-0.11.8-r1.apk | 370.8 KiB | 2025-May-29 11:58 |
py3-asyauth-0.0.21-r1.apk | 77.0 KiB | 2025-May-29 11:58 |
libigraph-dev-0.10.15-r1.apk | 90.5 KiB | 2025-May-29 11:58 |
py3-aiosmb-pyc-0.4.11-r1.apk | 1.1 MiB | 2025-May-29 11:58 |
httpx-doc-1.7.0-r2.apk | 2.3 KiB | 2025-May-29 11:58 |
py3-igraph-0.11.8-r1.apk | 393.3 KiB | 2025-May-29 11:58 |
py3-asyauth-pyc-0.0.21-r1.apk | 169.3 KiB | 2025-May-29 11:58 |
py3-aiosmb-0.4.11-r1.apk | 597.0 KiB | 2025-May-29 11:58 |
masky-pyc-0.2.0-r2.apk | 63.7 KiB | 2025-May-29 11:58 |
py3-asysocks-pyc-0.2.13-r1.apk | 229.3 KiB | 2025-May-29 11:58 |
masky-0.2.0-r2.apk | 276.9 KiB | 2025-May-29 11:58 |
kerberoast-pyc-0.2.0-r2.apk | 15.1 KiB | 2025-May-29 11:58 |
py3-aesedb-pyc-0.1.6-r3.apk | 74.7 KiB | 2025-May-29 11:58 |
grpcui-1.4.3-r4.apk | 8.4 MiB | 2025-May-29 11:58 |
py3-aiowinreg-pyc-0.0.12-r1.apk | 44.6 KiB | 2025-May-29 11:58 |
py3-aiowinreg-0.0.12-r1.apk | 22.1 KiB | 2025-May-29 11:58 |
py3-igraph-dev-0.11.8-r1.apk | 2.6 KiB | 2025-May-29 11:58 |
jackdaw-0.3.1-r2.apk | 2.0 MiB | 2025-May-29 11:58 |
ldapdomaindump-pyc-0.9.4-r2.apk | 30.5 KiB | 2025-May-29 11:58 |
py3-aesedb-0.1.6-r3.apk | 35.9 KiB | 2025-May-29 11:58 |
nmap-parse-output-bash-completion-1.5.1-r1.apk | 2.0 KiB | 2025-May-29 11:58 |
py3-asysocks-0.2.13-r1.apk | 83.8 KiB | 2025-May-29 11:58 |
libigraph-0.10.15-r1.apk | 1.0 MiB | 2025-May-29 11:58 |
kerberoast-0.2.0-r2.apk | 9.3 KiB | 2025-May-29 11:58 |
nmap-parse-output-1.5.1-r1.apk | 20.5 KiB | 2025-May-29 11:58 |
httpx-1.7.0-r2.apk | 13.0 MiB | 2025-May-29 11:58 |
ldapdomaindump-0.9.4-r2.apk | 18.0 KiB | 2025-May-29 11:58 |
nmap-parse-output-doc-1.5.1-r1.apk | 807.7 KiB | 2025-May-29 11:58 |
bettercap-2.41.0-r2.apk | 18.5 MiB | 2025-May-29 11:58 |
bettercap-doc-2.41.0-r2.apk | 13.6 KiB | 2025-May-29 11:58 |
corosync-dev-3.1.9-r0.apk | 425.7 KiB | 2025-May-29 05:44 |
otf-agent-0.3.23-r0.apk | 8.0 MiB | 2025-May-29 05:44 |
otf-server-openrc-0.3.23-r0.apk | 2.0 KiB | 2025-May-29 05:44 |
otf-0.3.23-r0.apk | 1.3 KiB | 2025-May-29 05:44 |
otf-cli-0.3.23-r0.apk | 7.9 MiB | 2025-May-29 05:44 |
otf-agent-openrc-0.3.23-r0.apk | 2.0 KiB | 2025-May-29 05:44 |
botan2-libs-2.19.5-r0.apk | 2.3 MiB | 2025-May-29 05:44 |
corosync-openrc-3.1.9-r0.apk | 1.8 KiB | 2025-May-29 05:44 |
corosync-doc-3.1.9-r0.apk | 190.3 KiB | 2025-May-29 05:44 |
corosync-3.1.9-r0.apk | 236.3 KiB | 2025-May-29 05:44 |
otf-server-0.3.23-r0.apk | 12.4 MiB | 2025-May-29 05:44 |
botan2-doc-2.19.5-r0.apk | 306.2 KiB | 2025-May-29 05:44 |
botan2-dev-2.19.5-r0.apk | 310.8 KiB | 2025-May-29 05:44 |
biboumi-9.0-r8.apk | 252.8 KiB | 2025-May-29 05:44 |
botan2-2.19.5-r0.apk | 357.5 KiB | 2025-May-29 05:44 |
biboumi-doc-9.0-r8.apk | 1.5 KiB | 2025-May-29 05:44 |
biboumi-openrc-9.0-r8.apk | 1.9 KiB | 2025-May-29 05:44 |
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1.8 KiB | 2025-May-28 14:23 |
paged-markdown-3-pdf-0.1.3-r0.apk | 393.4 KiB | 2025-May-28 14:23 |
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1.9 KiB | 2025-May-28 14:23 |
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1.9 KiB | 2025-May-28 14:23 |
tuned-ppd-openrc-2.25.1-r2.apk | 1.8 KiB | 2025-May-28 10:42 |
tuned-doc-2.25.1-r2.apk | 78.6 KiB | 2025-May-28 10:42 |
tuned-utils-2.25.1-r2.apk | 10.6 KiB | 2025-May-28 10:42 |
tuned-openrc-2.25.1-r2.apk | 1.7 KiB | 2025-May-28 10:42 |
tuned-gtk-2.25.1-r2.apk | 22.0 KiB | 2025-May-28 10:42 |
tuned-ppd-2.25.1-r2.apk | 3.7 KiB | 2025-May-28 10:42 |
tuned-pyc-2.25.1-r2.apk | 332.7 KiB | 2025-May-28 10:42 |
tuned-profiles-2.25.1-r2.apk | 8.2 KiB | 2025-May-28 10:42 |
tuned-bash-completion-2.25.1-r2.apk | 1.9 KiB | 2025-May-28 10:42 |
tuned-2.25.1-r2.apk | 158.8 KiB | 2025-May-28 10:42 |
tuned-profiles-compat-2.25.1-r2.apk | 3.6 KiB | 2025-May-28 10:42 |
remco-openrc-0.12.5-r0.apk | 1.7 KiB | 2025-May-28 08:00 |
remco-0.12.5-r0.apk | 9.4 MiB | 2025-May-28 08:00 |
remco-doc-0.12.5-r0.apk | 2.3 KiB | 2025-May-28 08:00 |
ouch-zsh-completion-0.6.1-r0.apk | 3.1 KiB | 2025-May-28 07:38 |
ouch-doc-0.6.1-r0.apk | 3.8 KiB | 2025-May-28 07:38 |
ouch-0.6.1-r0.apk | 1.5 MiB | 2025-May-28 07:38 |
ouch-fish-completion-0.6.1-r0.apk | 3.0 KiB | 2025-May-28 07:38 |
ouch-bash-completion-0.6.1-r0.apk | 2.5 KiB | 2025-May-28 07:38 |
kronosnet-dev-1.30-r0.apk | 2.4 MiB | 2025-May-27 23:07 |
kronosnet-1.30-r0.apk | 71.7 KiB | 2025-May-27 23:07 |
kronosnet-doc-1.30-r0.apk | 105.7 KiB | 2025-May-27 23:07 |
ipp-usb-doc-0.9.30-r0.apk | 8.8 KiB | 2025-May-27 22:50 |
ipp-usb-0.9.30-r0.apk | 2.5 MiB | 2025-May-27 22:50 |
ipp-usb-openrc-0.9.30-r0.apk | 1.7 KiB | 2025-May-27 22:50 |
terminology-1.14.0-r0.apk | 2.7 MiB | 2025-May-27 22:49 |
terminology-lang-1.14.0-r0.apk | 143.0 KiB | 2025-May-27 22:49 |
terminology-doc-1.14.0-r0.apk | 9.0 KiB | 2025-May-27 22:49 |
godini-doc-1.0.0-r0.apk | 14.8 KiB | 2025-May-27 21:41 |
py3-lxmf-0.7.1-r0.apk | 50.8 KiB | 2025-May-27 21:41 |
piper-tts-dev-2023.11.14.2-r13.apk | 141.0 KiB | 2025-May-27 21:41 |
py3-rns-0.9.6-r0.apk | 344.1 KiB | 2025-May-27 21:41 |
godini-1.0.0-r0.apk | 1.4 MiB | 2025-May-27 21:41 |
piper-tts-2023.11.14.2-r13.apk | 121.1 KiB | 2025-May-27 21:41 |
py3-lxmf-pyc-0.7.1-r0.apk | 109.3 KiB | 2025-May-27 21:41 |
baikal-pgsql-0.10.1-r1.apk | 1.3 KiB | 2025-May-27 21:41 |
net-predictable-1.5.1-r0.apk | 899.0 KiB | 2025-May-27 21:41 |
baikal-mysql-0.10.1-r1.apk | 1.3 KiB | 2025-May-27 21:41 |
abnfgen-0.21-r0.apk | 15.5 KiB | 2025-May-27 21:41 |
baikal-0.10.1-r1.apk | 1.3 MiB | 2025-May-27 21:41 |
abnfgen-doc-0.21-r0.apk | 5.1 KiB | 2025-May-27 21:41 |
baikal-sqlite-0.10.1-r1.apk | 1.4 KiB | 2025-May-27 21:41 |
nomadnet-0.7.0-r0.apk | 142.8 KiB | 2025-May-27 21:41 |
py3-rns-pyc-0.9.6-r0.apk | 704.1 KiB | 2025-May-27 21:41 |
nomadnet-pyc-0.7.0-r0.apk | 284.1 KiB | 2025-May-27 21:41 |
net-predictable-doc-1.5.1-r0.apk | 2.2 KiB | 2025-May-27 21:41 |
py3-piper-tts-2023.11.14.2-r13.apk | 40.9 KiB | 2025-May-27 21:41 |
font-cascadia-2407.24-r1.apk | 1.3 KiB | 2025-May-27 14:36 |
perl-linux-pid-0.04-r14.apk | 4.5 KiB | 2025-May-27 14:36 |
font-cascadia-mono-2407.24-r1.apk | 506.8 KiB | 2025-May-27 14:36 |
font-cascadia-code-2407.24-r1.apk | 526.2 KiB | 2025-May-27 14:36 |
perl-linux-pid-doc-0.04-r14.apk | 3.0 KiB | 2025-May-27 14:36 |
turntable-0.3.3-r0.apk | 166.4 KiB | 2025-May-27 13:01 |
turntable-lang-0.3.3-r0.apk | 12.3 KiB | 2025-May-27 13:01 |
hiawatha-doc-11.6-r1.apk | 21.0 KiB | 2025-May-27 11:06 |
hiawatha-11.6-r1.apk | 150.2 KiB | 2025-May-27 11:06 |
fzy-1.0-r4.apk | 11.5 KiB | 2025-May-27 11:06 |
fzy-doc-1.0-r4.apk | 3.6 KiB | 2025-May-27 11:06 |
hiawatha-letsencrypt-11.6-r1.apk | 17.2 KiB | 2025-May-27 11:06 |
hiawatha-openrc-11.6-r1.apk | 1.7 KiB | 2025-May-27 11:06 |
font-openmoji-15.1.0-r0.apk | 3.6 MiB | 2025-May-27 08:43 |
warpinator-1.8.8-r2.apk | 215.8 KiB | 2025-May-27 08:43 |
warpinator-nemo-1.8.8-r2.apk | 4.2 KiB | 2025-May-27 08:43 |
warpinator-lang-1.8.8-r2.apk | 222.2 KiB | 2025-May-27 08:43 |
prometheus-apcupsd-exporter-openrc-0.3.0-r1.apk | 1.9 KiB | 2025-May-27 00:16 |
prometheus-apcupsd-exporter-0.3.0-r1.apk | 3.6 MiB | 2025-May-27 00:16 |
roll-bash-completion-2.6.1-r0.apk | 1.9 KiB | 2025-May-26 23:05 |
roll-2.6.1-r0.apk | 11.3 KiB | 2025-May-26 23:05 |
roll-doc-2.6.1-r0.apk | 11.3 KiB | 2025-May-26 23:05 |
gnome-mimeapps-0.1-r0.apk | 3.7 KiB | 2025-May-26 23:05 |
spacer-0.3.9-r0.apk | 876.6 KiB | 2025-May-26 13:06 |
spacer-doc-0.3.9-r0.apk | 3.1 KiB | 2025-May-26 13:06 |
py3-ovos-workshop-3.4.0-r0.apk | 92.2 KiB | 2025-May-26 12:35 |
ovos-core-1.3.1-r0.apk | 51.1 KiB | 2025-May-26 12:35 |
ovos-core-pyc-1.3.1-r0.apk | 63.6 KiB | 2025-May-26 12:35 |
py3-ovos-workshop-pyc-3.4.0-r0.apk | 165.7 KiB | 2025-May-26 12:35 |
lutris-0.5.19-r0.apk | 819.2 KiB | 2025-May-26 11:10 |
minigalaxy-pyc-1.3.2-r0.apk | 94.2 KiB | 2025-May-26 11:10 |
minigalaxy-1.3.2-r0.apk | 168.1 KiB | 2025-May-26 11:10 |
lutris-doc-0.5.19-r0.apk | 2.3 KiB | 2025-May-26 11:10 |
lutris-pyc-0.5.19-r0.apk | 1.1 MiB | 2025-May-26 11:10 |
lutris-lang-0.5.19-r0.apk | 810.5 KiB | 2025-May-26 11:10 |
cargo-geiger-doc-0.12.0-r0.apk | 7.7 KiB | 2025-May-26 11:09 |
cargo-geiger-0.12.0-r0.apk | 4.7 MiB | 2025-May-26 11:09 |
apt-swarm-0.5.1-r0.apk | 2.7 MiB | 2025-May-26 10:56 |
apt-swarm-openrc-0.5.1-r0.apk | 1.9 KiB | 2025-May-26 10:56 |
apt-swarm-bash-completion-0.5.1-r0.apk | 4.2 KiB | 2025-May-26 10:56 |
apt-swarm-zsh-completion-0.5.1-r0.apk | 5.9 KiB | 2025-May-26 10:56 |
apt-swarm-fish-completion-0.5.1-r0.apk | 5.7 KiB | 2025-May-26 10:56 |
touchpad-emulator-0.3-r0.apk | 13.4 KiB | 2025-May-26 09:33 |
elementary-settings-daemon-lang-8.3.0-r0.apk | 74.0 KiB | 2025-May-26 09:05 |
elementary-settings-daemon-openrc-8.3.0-r0.apk | 1.8 KiB | 2025-May-26 09:05 |
elementary-settings-daemon-8.3.0-r0.apk | 73.3 KiB | 2025-May-26 09:05 |
infnoise-0.3.3-r0.apk | 14.6 KiB | 2025-May-26 08:58 |
infnoise-doc-0.3.3-r0.apk | 4.1 KiB | 2025-May-26 08:58 |
libinfnoise-0.3.3-r0.apk | 13.4 KiB | 2025-May-26 08:58 |
infnoise-openrc-0.3.3-r0.apk | 1.7 KiB | 2025-May-26 08:58 |
convert2json-yaml-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-bson-jaq-2.2.2-r0.apk | 228.8 KiB | 2025-May-26 00:38 |
convert2json-cbor-jaq-2.2.2-r0.apk | 200.8 KiB | 2025-May-26 00:38 |
convert2json-toml-json-2.2.2-r0.apk | 233.5 KiB | 2025-May-26 00:38 |
convert2json-xml-jaq-2.2.2-r0.apk | 193.1 KiB | 2025-May-26 00:38 |
convert2json-messagepack-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-messagepack-jaq-2.2.2-r0.apk | 197.6 KiB | 2025-May-26 00:38 |
convert2json-ini-json-2.2.2-r0.apk | 174.9 KiB | 2025-May-26 00:38 |
convert2json-xml-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-xml-json-2.2.2-r0.apk | 186.1 KiB | 2025-May-26 00:38 |
convert2json-ini-jaq-2.2.2-r0.apk | 182.5 KiB | 2025-May-26 00:38 |
convert2json-plist-json-2.2.2-r0.apk | 228.9 KiB | 2025-May-26 00:38 |
convert2json-csv-json-2.2.2-r0.apk | 210.8 KiB | 2025-May-26 00:38 |
convert2json-bson-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-rsv-jaq-2.2.2-r0.apk | 162.3 KiB | 2025-May-26 00:38 |
convert2json-csv-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-csv-jaq-2.2.2-r0.apk | 218.0 KiB | 2025-May-26 00:38 |
convert2json-yaml-jaq-2.2.2-r0.apk | 259.4 KiB | 2025-May-26 00:38 |
convert2json-toml-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-toml-jaq-2.2.2-r0.apk | 240.6 KiB | 2025-May-26 00:38 |
convert2json-jaq-2.2.2-r0.apk | 1.4 KiB | 2025-May-26 00:38 |
convert2json-rsv-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-plist-jaq-2.2.2-r0.apk | 235.7 KiB | 2025-May-26 00:38 |
convert2json-ini-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-rsv-json-2.2.2-r0.apk | 154.2 KiB | 2025-May-26 00:38 |
convert2json-plist-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-cbor-json-2.2.2-r0.apk | 193.3 KiB | 2025-May-26 00:38 |
convert2json-bson-json-2.2.2-r0.apk | 222.0 KiB | 2025-May-26 00:38 |
convert2json-json-2.2.2-r0.apk | 1.4 KiB | 2025-May-26 00:38 |
convert2json-doc-2.2.2-r0.apk | 13.2 KiB | 2025-May-26 00:38 |
convert2json-yaml-json-2.2.2-r0.apk | 252.6 KiB | 2025-May-26 00:38 |
convert2json-cbor-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 00:38 |
convert2json-messagepack-json-2.2.2-r0.apk | 190.5 KiB | 2025-May-26 00:38 |
ruby-event_emitter-doc-0.2.6-r0.apk | 2.3 KiB | 2025-May-26 00:00 |
ruby-event_emitter-0.2.6-r0.apk | 3.1 KiB | 2025-May-26 00:00 |
ruby-fast_gettext-3.1.0-r0.apk | 22.1 KiB | 2025-May-25 23:58 |
ruby-coderay-doc-1.1.3-r0.apk | 2.3 KiB | 2025-May-25 23:56 |
ruby-coderay-1.1.3-r0.apk | 89.1 KiB | 2025-May-25 23:56 |
ruby-byebug-11.1.3-r0.apk | 119.6 KiB | 2025-May-25 23:55 |
ruby-benchmark-ips-doc-2.14.0-r0.apk | 2.3 KiB | 2025-May-25 23:54 |
ruby-benchmark-ips-2.14.0-r0.apk | 14.3 KiB | 2025-May-25 23:54 |
ruby-appraisal-doc-2.5.0-r0.apk | 2.3 KiB | 2025-May-25 23:52 |
ruby-appraisal-2.5.0-r0.apk | 10.9 KiB | 2025-May-25 23:52 |
obnc-0.17.2-r0.apk | 134.5 KiB | 2025-May-25 23:37 |
obnc-doc-0.17.2-r0.apk | 33.3 KiB | 2025-May-25 23:37 |
tinyemu-2019.12.21-r0.apk | 98.5 KiB | 2025-May-25 23:35 |
cargo-machete-doc-0.8.0-r0.apk | 4.0 KiB | 2025-May-25 23:25 |
cargo-machete-0.8.0-r0.apk | 1.1 MiB | 2025-May-25 23:25 |
chimerautils-dbg-14.2.1-r0.apk | 3.1 MiB | 2025-May-25 23:19 |
chimerautils-14.2.1-r0.apk | 1.1 MiB | 2025-May-25 23:19 |
codec2-1.2.0-r0.apk | 656.4 KiB | 2025-May-25 22:14 |
codec2-dev-1.2.0-r0.apk | 15.4 KiB | 2025-May-25 22:14 |
oniux-0.5.0-r0.apk | 5.2 MiB | 2025-May-25 15:28 |
oniux-doc-0.5.0-r0.apk | 3.1 KiB | 2025-May-25 15:28 |
py3-beartype-pyc-0.21.0-r0.apk | 672.7 KiB | 2025-May-25 13:46 |
py3-beartype-0.21.0-r0.apk | 926.4 KiB | 2025-May-25 13:46 |
hexdiff-doc-0.0.53-r3.apk | 3.7 KiB | 2025-May-25 07:49 |
hexdiff-0.0.53-r3.apk | 13.0 KiB | 2025-May-25 07:49 |
guetzli-dev-0_git20191025-r2.apk | 2.2 MiB | 2025-May-25 07:49 |
vbindiff-doc-3.0_beta5-r2.apk | 5.5 KiB | 2025-May-25 07:49 |
vbindiff-3.0_beta5-r2.apk | 18.4 KiB | 2025-May-25 07:49 |
libtommath-dev-1.2.1-r1.apk | 55.6 KiB | 2025-May-25 07:49 |
libtommath-1.2.1-r1.apk | 31.8 KiB | 2025-May-25 07:49 |
cln-dev-1.3.7-r1.apk | 1.1 MiB | 2025-May-25 07:49 |
cln-1.3.7-r1.apk | 417.8 KiB | 2025-May-25 07:49 |
ginac-dev-1.8.9-r0.apk | 68.2 KiB | 2025-May-25 07:49 |
darts-clone-0_git20181117-r1.apk | 25.3 KiB | 2025-May-25 07:49 |
endlessh-doc-1.1-r1.apk | 2.7 KiB | 2025-May-25 07:49 |
cln-doc-1.3.7-r1.apk | 77.3 KiB | 2025-May-25 07:49 |
gron-0.7.1-r25.apk | 2.5 MiB | 2025-May-25 07:49 |
darts-clone-dev-0_git20181117-r1.apk | 13.1 KiB | 2025-May-25 07:49 |
guetzli-0_git20191025-r2.apk | 127.8 KiB | 2025-May-25 07:49 |
ginac-doc-1.8.9-r0.apk | 97.5 KiB | 2025-May-25 07:49 |
gron-doc-0.7.1-r25.apk | 6.3 KiB | 2025-May-25 07:49 |
endlessh-1.1-r1.apk | 8.8 KiB | 2025-May-25 07:49 |
ginac-1.8.9-r0.apk | 1007.4 KiB | 2025-May-25 07:49 |
py3-pyinstrument-5.0.2-r0.apk | 108.6 KiB | 2025-May-25 05:30 |
py3-pyinstrument-pyc-5.0.2-r0.apk | 100.1 KiB | 2025-May-25 05:30 |
elementary-dock-lang-8.0.2-r0.apk | 27.6 KiB | 2025-May-24 22:57 |
elementary-dock-8.0.2-r0.apk | 80.5 KiB | 2025-May-24 22:57 |
lxqt-wayland-session-0.2.0-r0.apk | 336.0 KiB | 2025-May-24 22:16 |
lxqt-wayland-session-doc-0.2.0-r0.apk | 28.8 KiB | 2025-May-24 22:16 |
nb-full-7.19.1-r0.apk | 1.3 KiB | 2025-May-24 22:10 |
nb-doc-7.19.1-r0.apk | 77.5 KiB | 2025-May-24 22:10 |
nb-fish-completion-7.19.1-r0.apk | 2.8 KiB | 2025-May-24 22:10 |
nb-7.19.1-r0.apk | 152.1 KiB | 2025-May-24 22:10 |
nb-zsh-completion-7.19.1-r0.apk | 3.0 KiB | 2025-May-24 22:10 |
nb-bash-completion-7.19.1-r0.apk | 3.0 KiB | 2025-May-24 22:10 |
hyprland-plugins-0.49.0-r0.apk | 1.3 KiB | 2025-May-24 20:10 |
hyprland-plugins-borders-plus-plus-0.49.0-r0.apk | 51.3 KiB | 2025-May-24 20:10 |
hyprland-plugins-csgo-vulkan-fix-0.49.0-r0.apk | 16.1 KiB | 2025-May-24 20:10 |
hyprland-plugins-hyprexpo-0.49.0-r0.apk | 40.8 KiB | 2025-May-24 20:10 |
hyprland-plugins-hyprwinwrap-0.49.0-r0.apk | 55.8 KiB | 2025-May-24 20:10 |
hyprland-plugins-hyprbars-0.49.0-r0.apk | 85.4 KiB | 2025-May-24 20:10 |
hyprland-plugins-hyprtrails-0.49.0-r0.apk | 44.4 KiB | 2025-May-24 20:10 |
hyprland-plugins-doc-0.49.0-r0.apk | 5.7 KiB | 2025-May-24 20:10 |
hyprland-plugins-xtra-dispatchers-0.49.0-r0.apk | 15.5 KiB | 2025-May-24 20:10 |
hyprland-qtutils-0.1.3-r0.apk | 108.5 KiB | 2025-May-24 20:06 |
hyprland-qt-support-0.1.0-r0.apk | 100.8 KiB | 2025-May-24 20:06 |
hyprpolkitagent-doc-0.1.2-r0.apk | 3.0 KiB | 2025-May-24 20:02 |
hyprpolkitagent-0.1.2-r0.apk | 48.8 KiB | 2025-May-24 20:02 |
hyprpolkitagent-openrc-0.1.2-r0.apk | 1.8 KiB | 2025-May-24 20:02 |
lomiri-history-service-dev-0.6-r6.apk | 11.1 KiB | 2025-May-23 22:32 |
lomiri-telephony-service-lang-0.6.1-r1.apk | 107.6 KiB | 2025-May-23 22:32 |
lomiri-history-service-0.6-r6.apk | 311.5 KiB | 2025-May-23 22:32 |
lomiri-telephony-service-0.6.1-r1.apk | 891.3 KiB | 2025-May-23 22:32 |
radarr-openrc-5.23.3.9987-r0.apk | 2.0 KiB | 2025-May-23 19:58 |
refine-0.5.9-r0.apk | 33.3 KiB | 2025-May-23 19:58 |
php81-pecl-mongodb-2.1.0-r0.apk | 771.4 KiB | 2025-May-23 19:58 |
refine-lang-0.5.9-r0.apk | 31.4 KiB | 2025-May-23 19:58 |
radarr-5.23.3.9987-r0.apk | 26.7 MiB | 2025-May-23 19:58 |
ripasso-cursive-0.7.0-r0.apk | 2.8 MiB | 2025-May-23 19:58 |
koi-doc-0.5.1-r0.apk | 4.2 KiB | 2025-May-23 19:58 |
level-zero-1.21.9-r0.apk | 545.0 KiB | 2025-May-23 19:58 |
koi-0.5.1-r0.apk | 123.3 KiB | 2025-May-23 19:58 |
level-zero-doc-1.21.9-r0.apk | 2.3 KiB | 2025-May-23 19:58 |
tree-sitter-yaml-doc-0.7.1-r0.apk | 2.3 KiB | 2025-May-23 05:05 |
tree-sitter-yaml-0.7.1-r0.apk | 36.2 KiB | 2025-May-23 05:05 |
errands-lang-46.2.8-r0.apk | 71.2 KiB | 2025-May-22 14:42 |
errands-46.2.8-r0.apk | 84.1 KiB | 2025-May-22 14:42 |
cargo-shuttle-bash-completion-0.55.0-r0.apk | 4.9 KiB | 2025-May-22 14:28 |
cargo-shuttle-doc-0.55.0-r0.apk | 8.9 KiB | 2025-May-22 14:28 |
cargo-shuttle-0.55.0-r0.apk | 4.1 MiB | 2025-May-22 14:28 |
cargo-shuttle-fish-completion-0.55.0-r0.apk | 8.2 KiB | 2025-May-22 14:28 |
cargo-shuttle-zsh-completion-0.55.0-r0.apk | 7.7 KiB | 2025-May-22 14:28 |
drogon-dev-1.9.4-r2.apk | 121.3 KiB | 2025-May-22 06:51 |
gearmand-openrc-1.1.21-r2.apk | 1.8 KiB | 2025-May-22 06:51 |
gearmand-1.1.21-r2.apk | 152.1 KiB | 2025-May-22 06:51 |
perl-ev-hiredis-0.07-r2.apk | 12.2 KiB | 2025-May-22 06:51 |
drogon-doc-1.9.4-r2.apk | 2.3 KiB | 2025-May-22 06:51 |
gearman-libs-1.1.21-r2.apk | 66.0 KiB | 2025-May-22 06:51 |
perl-ev-hiredis-doc-0.07-r2.apk | 4.2 KiB | 2025-May-22 06:51 |
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4.4 KiB | 2025-May-22 06:51 |
apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 278.5 KiB | 2025-May-22 06:51 |
apache-mod-auth-openidc-2.4.16.11-r1.apk | 215.0 KiB | 2025-May-22 06:51 |
gearmand-doc-1.1.21-r2.apk | 186.2 KiB | 2025-May-22 06:51 |
gearman-dev-1.1.21-r2.apk | 1002.1 KiB | 2025-May-22 06:51 |
drogon-1.9.4-r2.apk | 1.3 MiB | 2025-May-22 06:51 |
soundconverter-4.0.6-r1.apk | 164.4 KiB | 2025-May-21 09:15 |
soundconverter-lang-4.0.6-r1.apk | 106.9 KiB | 2025-May-21 09:15 |
soundconverter-pyc-4.0.6-r1.apk | 74.9 KiB | 2025-May-21 09:15 |
soundconverter-doc-4.0.6-r1.apk | 4.5 KiB | 2025-May-21 09:15 |
php83-pecl-excimer-1.2.5-r0.apk | 18.5 KiB | 2025-May-20 15:41 |
php82-pecl-excimer-1.2.5-r0.apk | 18.5 KiB | 2025-May-20 15:41 |
lomiri-location-service-dev-3.3.0-r3.apk | 29.8 KiB | 2025-May-19 14:30 |
lomiri-location-service-3.3.0-r3.apk | 1.9 MiB | 2025-May-19 14:30 |
lomiri-location-service-lang-3.3.0-r3.apk | 25.8 KiB | 2025-May-19 14:30 |
lomiri-location-service-doc-3.3.0-r3.apk | 2.9 KiB | 2025-May-19 14:30 |
php81-pecl-brotli-0.17.0-r0.apk | 13.1 KiB | 2025-May-19 13:31 |
river-luatile-0.1.4-r0.apk | 226.7 KiB | 2025-May-19 12:22 |
lomiri-indicator-location-25.4.22-r0.apk | 25.7 KiB | 2025-May-19 12:22 |
lomiri-indicator-location-lang-25.4.22-r0.apk | 27.2 KiB | 2025-May-19 12:22 |
apache2-mod-maxminddb-1.2.0-r0.apk | 10.4 KiB | 2025-May-19 10:23 |
pict-rs-openrc-0.5.19-r0.apk | 1.9 KiB | 2025-May-19 09:16 |
pict-rs-0.5.19-r0.apk | 5.9 MiB | 2025-May-19 09:16 |
trippy-0.13.0-r0.apk | 2.0 MiB | 2025-May-19 08:28 |
trippy-bash-completion-0.13.0-r0.apk | 3.2 KiB | 2025-May-19 08:28 |
trippy-zsh-completion-0.13.0-r0.apk | 4.9 KiB | 2025-May-19 08:28 |
linkchecker-doc-10.5.0-r0.apk | 39.1 KiB | 2025-May-18 23:04 |
linkchecker-10.5.0-r0.apk | 181.0 KiB | 2025-May-18 23:04 |
linkchecker-pyc-10.5.0-r0.apk | 253.7 KiB | 2025-May-18 23:04 |
dvisvgm-3.4.4-r0.apk | 1.0 MiB | 2025-May-18 22:44 |
dvisvgm-doc-3.4.4-r0.apk | 26.1 KiB | 2025-May-18 22:44 |
afew-doc-3.0.1-r0.apk | 12.4 KiB | 2025-May-18 22:30 |
afew-3.0.1-r0.apk | 73.1 KiB | 2025-May-18 22:30 |
iwmenu-0.2.0-r0.apk | 1.4 MiB | 2025-May-18 22:30 |
bzmenu-0.2.1-r0.apk | 1010.3 KiB | 2025-May-18 22:30 |
hardinfo2-openrc-2.2.10-r0.apk | 1.9 KiB | 2025-May-18 22:20 |
diskus-0.8.0-r0.apk | 310.0 KiB | 2025-May-18 22:20 |
hardinfo2-2.2.10-r0.apk | 3.3 MiB | 2025-May-18 22:20 |
hardinfo2-lang-2.2.10-r0.apk | 273.1 KiB | 2025-May-18 22:20 |
parse-changelog-0.6.12-r0.apk | 501.6 KiB | 2025-May-18 22:20 |
hardinfo2-doc-2.2.10-r0.apk | 2.7 KiB | 2025-May-18 22:20 |
virtctl-1.5.1-r0.apk | 14.5 MiB | 2025-May-18 21:51 |
virtctl-bash-completion-1.5.1-r0.apk | 5.1 KiB | 2025-May-18 21:51 |
virtctl-fish-completion-1.5.1-r0.apk | 4.3 KiB | 2025-May-18 21:51 |
virtctl-zsh-completion-1.5.1-r0.apk | 4.0 KiB | 2025-May-18 21:51 |
mimalloc1-insecure-1.9.3-r1.apk | 57.7 KiB | 2025-May-18 16:00 |
mimalloc1-dev-1.9.3-r1.apk | 444.4 KiB | 2025-May-18 16:00 |
mimalloc1-1.9.3-r1.apk | 61.2 KiB | 2025-May-18 16:00 |
mimalloc1-debug-1.9.3-r1.apk | 200.0 KiB | 2025-May-18 16:00 |
piper-phonemize-dev-2023.11.14.4-r8.apk | 394.2 KiB | 2025-May-18 15:55 |
piper-phonemize-2023.11.14.4-r8.apk | 9.0 MiB | 2025-May-18 15:55 |
py3-piper-phonemize-2023.11.14.4-r8.apk | 138.4 KiB | 2025-May-18 15:55 |
py3-piper-phonemize-pyc-2023.11.14.4-r8.apk | 3.4 KiB | 2025-May-18 15:55 |
piper-phonemize-libs-2023.11.14.4-r8.apk | 67.9 KiB | 2025-May-18 15:55 |
perl-clipboard-doc-0.32-r0.apk | 26.8 KiB | 2025-May-18 15:45 |
perl-clipboard-0.32-r0.apk | 10.5 KiB | 2025-May-18 15:45 |
visidata-zsh-completion-3.1.1-r0.apk | 9.2 KiB | 2025-May-18 15:38 |
visidata-doc-3.1.1-r0.apk | 18.1 KiB | 2025-May-18 15:38 |
httplz-doc-2.2.0-r0.apk | 2.3 KiB | 2025-May-18 15:38 |
visidata-3.1.1-r0.apk | 406.9 KiB | 2025-May-18 15:38 |
httplz-2.2.0-r0.apk | 1.2 MiB | 2025-May-18 15:38 |
visidata-pyc-3.1.1-r0.apk | 818.6 KiB | 2025-May-18 15:38 |
oils-for-unix-bash-0.29.0-r1.apk | 1.5 KiB | 2025-May-18 11:49 |
oils-for-unix-0.29.0-r1.apk | 648.7 KiB | 2025-May-18 11:49 |
oils-for-unix-binsh-0.29.0-r1.apk | 1.5 KiB | 2025-May-18 11:49 |
oils-for-unix-doc-0.29.0-r1.apk | 7.0 KiB | 2025-May-18 11:49 |
perl-net-netmask-2.0003-r0.apk | 13.5 KiB | 2025-May-18 04:10 |
perl-net-netmask-doc-2.0003-r0.apk | 8.6 KiB | 2025-May-18 04:10 |
netdiscover-0.20-r0.apk | 540.5 KiB | 2025-May-17 21:48 |
netdiscover-doc-0.20-r0.apk | 4.2 KiB | 2025-May-17 21:48 |
mdbook-mermaid-0.15.0-r0.apk | 1.6 MiB | 2025-May-17 13:32 |
mdbook-katex-0.9.4-r0.apk | 1.0 MiB | 2025-May-17 13:32 |
tree-sitter-hcl-1.1.1-r0.apk | 21.9 KiB | 2025-May-17 10:39 |
go-jsonnet-0.21.0-r0.apk | 6.2 MiB | 2025-May-16 20:39 |
sfizz-1.2.3-r0.apk | 1.5 MiB | 2025-May-16 20:14 |
sfizz-doc-1.2.3-r0.apk | 3.0 KiB | 2025-May-16 20:14 |
sfizz-dev-1.2.3-r0.apk | 14.7 KiB | 2025-May-16 20:14 |
mdbook-linkcheck-0.7.7-r0.apk | 2.5 MiB | 2025-May-16 19:17 |
mdbook-admonish-1.19.0-r0.apk | 941.7 KiB | 2025-May-16 17:30 |
quickjs-ng-0.10.1-r0.apk | 72.4 KiB | 2025-May-16 17:20 |
quickjs-ng-dev-0.10.1-r0.apk | 14.3 KiB | 2025-May-16 17:20 |
quickjs-ng-libs-0.10.1-r0.apk | 290.4 KiB | 2025-May-16 17:20 |
quickjs-ng-doc-0.10.1-r0.apk | 6.3 KiB | 2025-May-16 17:20 |
watchmate-0.5.3-r0.apk | 2.0 MiB | 2025-May-16 17:20 |
qbittorrent-cli-2.2.0-r0.apk | 5.6 MiB | 2025-May-16 14:55 |
rio-terminfo-0.2.16-r0.apk | 3.4 KiB | 2025-May-16 14:52 |
rio-doc-0.2.16-r0.apk | 2.3 KiB | 2025-May-16 14:52 |
wgcf-2.2.26-r0.apk | 3.9 MiB | 2025-May-16 14:52 |
wgcf-bash-completion-2.2.26-r0.apk | 6.1 KiB | 2025-May-16 14:52 |
wgcf-zsh-completion-2.2.26-r0.apk | 4.0 KiB | 2025-May-16 14:52 |
rio-0.2.16-r0.apk | 9.5 MiB | 2025-May-16 14:52 |
wgcf-fish-completion-2.2.26-r0.apk | 4.3 KiB | 2025-May-16 14:52 |
vals-0.41.0-r0.apk | 28.4 MiB | 2025-May-16 14:37 |
helm-unittest-0.8.2-r0.apk | 11.7 MiB | 2025-May-16 14:37 |
undock-0.10.0-r0.apk | 9.8 MiB | 2025-May-16 14:37 |
knative-client-zsh-completion-1.18.0-r0.apk | 4.0 KiB | 2025-May-16 14:34 |
knative-client-bash-completion-1.18.0-r0.apk | 10.2 KiB | 2025-May-16 14:34 |
knative-client-1.18.0-r0.apk | 23.3 MiB | 2025-May-16 14:34 |
knative-client-fish-completion-1.18.0-r0.apk | 4.3 KiB | 2025-May-16 14:34 |
primecount-dev-7.17-r0.apk | 1.9 MiB | 2025-May-16 14:32 |
primecount-7.17-r0.apk | 26.4 KiB | 2025-May-16 14:32 |
primecount-libs-7.17-r0.apk | 120.9 KiB | 2025-May-16 14:32 |
primecount-doc-7.17-r0.apk | 3.9 KiB | 2025-May-16 14:32 |
kubectl-oidc_login-1.32.4-r0.apk | 5.4 MiB | 2025-May-16 14:31 |
felix-2.16.1-r0.apk | 592.6 KiB | 2025-May-16 08:33 |
tanka-0.32.0-r0.apk | 4.2 MiB | 2025-May-16 08:33 |
kubeone-1.10.0-r0.apk | 26.0 MiB | 2025-May-16 08:28 |
kubeone-doc-1.10.0-r0.apk | 20.2 KiB | 2025-May-16 08:28 |
kubeone-zsh-completion-1.10.0-r0.apk | 4.0 KiB | 2025-May-16 08:28 |
kubeone-bash-completion-1.10.0-r0.apk | 6.7 KiB | 2025-May-16 08:28 |
virter-doc-0.29.0-r0.apk | 14.9 KiB | 2025-May-16 07:14 |
virter-fish-completion-0.29.0-r0.apk | 4.3 KiB | 2025-May-16 07:14 |
virter-zsh-completion-0.29.0-r0.apk | 4.1 KiB | 2025-May-16 07:14 |
virter-bash-completion-0.29.0-r0.apk | 6.1 KiB | 2025-May-16 07:14 |
virter-0.29.0-r0.apk | 5.6 MiB | 2025-May-16 07:14 |
dooit-3.2.2-r0.apk | 44.8 KiB | 2025-May-16 07:14 |
protoc-gen-go-1.36.6-r0.apk | 2.2 MiB | 2025-May-16 07:14 |
dooit-pyc-3.2.2-r0.apk | 100.3 KiB | 2025-May-16 07:14 |
py3-python-jwt-pyc-4.1.0-r2.apk | 6.5 KiB | 2025-May-15 21:22 |
py3-python-jwt-4.1.0-r2.apk | 8.1 KiB | 2025-May-15 21:22 |
php81-pecl-opentelemetry-1.1.3-r0.apk | 11.2 KiB | 2025-May-15 20:56 |
php81-pecl-xdebug-3.4.3-r0.apk | 132.8 KiB | 2025-May-15 20:36 |
ruby-build-doc-20250507-r0.apk | 4.8 KiB | 2025-May-15 13:21 |
ruby-build-runtime-20250507-r0.apk | 1.3 KiB | 2025-May-15 13:21 |
ruby-build-20250507-r0.apk | 91.4 KiB | 2025-May-15 13:21 |
getmail6-doc-6.19.08-r0.apk | 138.3 KiB | 2025-May-15 10:04 |
getmail6-pyc-6.19.08-r0.apk | 104.3 KiB | 2025-May-15 10:04 |
getmail6-6.19.08-r0.apk | 70.7 KiB | 2025-May-15 10:04 |
youtube-viewer-3.11.1-r2.apk | 82.8 KiB | 2025-May-14 19:18 |
youtube-viewer-doc-3.11.1-r2.apk | 40.5 KiB | 2025-May-14 19:18 |
youtube-viewer-gtk-3.11.1-r2.apk | 170.8 KiB | 2025-May-14 19:18 |
py3-cjkwrap-pyc-2.2-r6.apk | 5.3 KiB | 2025-May-14 19:18 |
py3-cjkwrap-2.2-r6.apk | 4.5 KiB | 2025-May-14 19:18 |
py3-ffmpeg-0.2.0-r5.apk | 23.6 KiB | 2025-May-14 18:17 |
ytmdl-bash-completion-2024.08.15.1-r1.apk | 2.3 KiB | 2025-May-14 18:17 |
sandbar-0.1-r1.apk | 13.7 KiB | 2025-May-14 18:17 |
py3-enzyme-0.5.1-r1.apk | 22.7 KiB | 2025-May-14 18:17 |
steamguard-cli-bash-completion-0.9.6-r1.apk | 2.5 KiB | 2025-May-14 18:17 |
subliminal-2.2.1-r1.apk | 68.4 KiB | 2025-May-14 18:17 |
py3-pysrt-pyc-1.1.2-r5.apk | 22.7 KiB | 2025-May-14 18:17 |
xcape-1.2-r1.apk | 6.2 KiB | 2025-May-14 18:17 |
py3-itunespy-pyc-1.6-r5.apk | 14.6 KiB | 2025-May-14 18:17 |
py3-itunespy-1.6-r5.apk | 9.7 KiB | 2025-May-14 18:17 |
py3-grequests-0.7.0-r3.apk | 6.7 KiB | 2025-May-14 18:17 |
py3-simber-pyc-0.2.6-r5.apk | 16.2 KiB | 2025-May-14 18:17 |
ytmdl-pyc-2024.08.15.1-r1.apk | 78.1 KiB | 2025-May-14 18:17 |
py3-spotipy-pyc-2.24.0-r2.apk | 49.5 KiB | 2025-May-14 18:17 |
py3-dogpile.cache-1.3.3-r1.apk | 52.6 KiB | 2025-May-14 18:17 |
subliminal-pyc-2.2.1-r1.apk | 134.7 KiB | 2025-May-14 18:17 |
py3-ffmpeg-pyc-0.2.0-r5.apk | 32.6 KiB | 2025-May-14 18:17 |
steamguard-cli-zsh-completion-0.9.6-r1.apk | 3.5 KiB | 2025-May-14 18:17 |
tremc-bash-completion-0.9.3-r1.apk | 1.9 KiB | 2025-May-14 18:17 |
py3-downloader-cli-pyc-0.3.4-r2.apk | 14.1 KiB | 2025-May-14 18:17 |
wbg-1.2.0-r1.apk | 33.6 KiB | 2025-May-14 18:17 |
ytmdl-2024.08.15.1-r1.apk | 50.2 KiB | 2025-May-14 18:17 |
py3-youtube-search-pyc-1.6.6-r5.apk | 95.3 KiB | 2025-May-14 18:17 |
py3-grequests-pyc-0.7.0-r3.apk | 5.8 KiB | 2025-May-14 18:17 |
xkb-switch-doc-1.8.5-r1.apk | 2.1 KiB | 2025-May-14 18:17 |
xkb-switch-1.8.5-r1.apk | 17.7 KiB | 2025-May-14 18:17 |
py3-youtube-search-1.6.6-r5.apk | 78.1 KiB | 2025-May-14 18:17 |
steamguard-cli-0.9.6-r1.apk | 2.0 MiB | 2025-May-14 18:17 |
py3-dogpile.cache-pyc-1.3.3-r1.apk | 90.5 KiB | 2025-May-14 18:17 |
py3-simber-0.2.6-r5.apk | 11.9 KiB | 2025-May-14 18:17 |
py3-spotipy-2.24.0-r2.apk | 29.6 KiB | 2025-May-14 18:17 |
py3-enzyme-pyc-0.5.1-r1.apk | 18.8 KiB | 2025-May-14 18:17 |
py3-downloader-cli-0.3.4-r2.apk | 11.2 KiB | 2025-May-14 18:17 |
tremc-zsh-completion-0.9.3-r1.apk | 1.8 KiB | 2025-May-14 18:17 |
tremc-0.9.3-r1.apk | 48.4 KiB | 2025-May-14 18:17 |
xcape-doc-1.2-r1.apk | 3.1 KiB | 2025-May-14 18:17 |
py3-pysrt-1.1.2-r5.apk | 25.5 KiB | 2025-May-14 18:17 |
tremc-doc-0.9.3-r1.apk | 2.8 KiB | 2025-May-14 18:17 |
openra-20231010-r1.apk | 23.5 MiB | 2025-May-14 18:17 |
ytmdl-zsh-completion-2024.08.15.1-r1.apk | 2.1 KiB | 2025-May-14 18:17 |
castero-pyc-0.9.5-r4.apk | 94.0 KiB | 2025-May-14 18:17 |
exercism-zsh-completion-3.2.0-r13.apk | 2.1 KiB | 2025-May-14 18:17 |
castero-0.9.5-r4.apk | 50.5 KiB | 2025-May-14 18:17 |
exercism-bash-completion-3.2.0-r13.apk | 2.0 KiB | 2025-May-14 18:17 |
downloader-cli-0.3.4-r2.apk | 2.0 KiB | 2025-May-14 18:17 |
exercism-3.2.0-r13.apk | 4.0 MiB | 2025-May-14 18:17 |
exercism-fish-completion-3.2.0-r13.apk | 2.4 KiB | 2025-May-14 18:17 |
regal-fish-completion-0.33.1-r0.apk | 4.3 KiB | 2025-May-14 17:28 |
regal-bash-completion-0.33.1-r0.apk | 6.1 KiB | 2025-May-14 17:28 |
regal-zsh-completion-0.33.1-r0.apk | 4.0 KiB | 2025-May-14 17:28 |
regal-0.33.1-r0.apk | 11.5 MiB | 2025-May-14 17:28 |
helm-mapkubeapis-0.5.2-r6.apk | 19.4 MiB | 2025-May-14 15:57 |
mjpg-streamer-0_git20210220-r2.apk | 178.4 KiB | 2025-May-14 15:03 |
mjpg-streamer-input-raspicam-0_git20210220-r2.apk | 14.7 KiB | 2025-May-14 15:03 |
tailspin-bash-completion-5.4.2-r0.apk | 2.2 KiB | 2025-May-14 09:43 |
py3-textual-pyc-3.2.0-r0.apk | 1.2 MiB | 2025-May-14 09:43 |
py3-onnxruntime-1.22.0-r0.apk | 10.9 MiB | 2025-May-14 09:43 |
tailspin-5.4.2-r0.apk | 1.0 MiB | 2025-May-14 09:43 |
py3-textual-3.2.0-r0.apk | 596.3 KiB | 2025-May-14 09:43 |
tailspin-fish-completion-5.4.2-r0.apk | 2.1 KiB | 2025-May-14 09:43 |
tailspin-zsh-completion-5.4.2-r0.apk | 2.5 KiB | 2025-May-14 09:43 |
onnxruntime-1.22.0-r0.apk | 5.0 MiB | 2025-May-14 09:43 |
tailspin-doc-5.4.2-r0.apk | 3.0 KiB | 2025-May-14 09:43 |
py3-onnxruntime-pyc-1.22.0-r0.apk | 1.3 MiB | 2025-May-14 09:43 |
onnxruntime-dev-1.22.0-r0.apk | 114.7 KiB | 2025-May-14 09:43 |
openconnect-sso-pyc-0.8.0_git20230822-r0.apk | 29.3 KiB | 2025-May-14 09:13 |
openconnect-sso-0.8.0_git20230822-r0.apk | 29.3 KiB | 2025-May-14 09:13 |
prometheus-smartctl-exporter-openrc-0.14.0-r0.apk | 1.9 KiB | 2025-May-14 06:52 |
prometheus-smartctl-exporter-0.14.0-r0.apk | 4.6 MiB | 2025-May-14 06:52 |
prometheus-opnsense-exporter-0.0.8-r0.apk | 4.7 MiB | 2025-May-14 06:26 |
prometheus-opnsense-exporter-openrc-0.0.8-r0.apk | 2.1 KiB | 2025-May-14 06:26 |
hctl-0.2.7-r0.apk | 1.2 MiB | 2025-May-14 00:04 |
kismet-logtools-0.202307.1-r7.apk | 988.9 KiB | 2025-May-13 21:38 |
py3-arcus-5.3.0-r4.apk | 69.6 KiB | 2025-May-13 21:38 |
kismet-linux-bluetooth-0.202307.1-r7.apk | 40.3 KiB | 2025-May-13 21:38 |
kismet-nrf-51822-0.202307.1-r7.apk | 37.2 KiB | 2025-May-13 21:38 |
kismet-0.202307.1-r7.apk | 11.0 MiB | 2025-May-13 21:38 |
kismet-nxp-kw41z-0.202307.1-r7.apk | 38.8 KiB | 2025-May-13 21:38 |
kismet-linux-wifi-0.202307.1-r7.apk | 58.3 KiB | 2025-May-13 21:38 |
pulsar-client-cpp-dev-3.1.2-r7.apk | 52.8 KiB | 2025-May-13 21:38 |
pulsar-client-cpp-3.1.2-r7.apk | 1.0 MiB | 2025-May-13 21:38 |
compiz-0.9.14.2-r10.apk | 5.3 MiB | 2025-May-13 21:38 |
cargo-generate-0.23.3-r0.apk | 2.1 MiB | 2025-May-13 21:38 |
compiz-dev-0.9.14.2-r10.apk | 117.2 KiB | 2025-May-13 21:38 |
compiz-utils-0.9.14.2-r10.apk | 3.3 KiB | 2025-May-13 21:38 |
compiz-lang-0.9.14.2-r10.apk | 1.2 MiB | 2025-May-13 21:38 |
clementine-1.4.1_git20250121-r3.apk | 5.7 MiB | 2025-May-13 21:38 |
compiz-pyc-0.9.14.2-r10.apk | 111.5 KiB | 2025-May-13 21:38 |
eiwd-3.8-r0.apk | 707.9 KiB | 2025-May-13 17:09 |
eiwd-openrc-3.8-r0.apk | 1.9 KiB | 2025-May-13 17:09 |
eiwd-doc-3.8-r0.apk | 20.3 KiB | 2025-May-13 17:09 |
elementary-feedback-lang-8.0.1-r0.apk | 46.5 KiB | 2025-May-13 06:39 |
elementary-feedback-8.0.1-r0.apk | 43.2 KiB | 2025-May-13 06:39 |
elementary-camera-8.0.1-r0.apk | 82.7 KiB | 2025-May-13 06:38 |
elementary-camera-lang-8.0.1-r0.apk | 34.1 KiB | 2025-May-13 06:38 |
elementary-icon-theme-8.1.0-r0.apk | 5.0 MiB | 2025-May-13 06:37 |
php81-pecl-uuid-1.3.0-r0.apk | 6.2 KiB | 2025-May-12 23:18 |
quodlibet-pyc-4.6.0-r2.apk | 1.8 MiB | 2025-May-12 21:20 |
readosm-1.1.0-r3.apk | 13.5 KiB | 2025-May-12 21:20 |
readosm-dev-1.1.0-r3.apk | 18.0 KiB | 2025-May-12 21:20 |
quodlibet-zsh-completion-4.6.0-r2.apk | 2.7 KiB | 2025-May-12 21:20 |
river-shifttags-0.2.1-r1.apk | 5.9 KiB | 2025-May-12 21:20 |
river-shifttags-doc-0.2.1-r1.apk | 2.4 KiB | 2025-May-12 21:20 |
py3-xlwt-pyc-1.3.0-r10.apk | 164.9 KiB | 2025-May-12 21:20 |
py3-unoconv-0.9.0-r4.apk | 25.9 KiB | 2025-May-12 21:20 |
qperf-0.4.11-r2.apk | 30.8 KiB | 2025-May-12 21:20 |
quodlibet-4.6.0-r2.apk | 1.0 MiB | 2025-May-12 21:20 |
quodlibet-doc-4.6.0-r2.apk | 8.7 KiB | 2025-May-12 21:20 |
py3-flask-bootstrap-3.3.7.1-r9.apk | 448.6 KiB | 2025-May-12 21:20 |
ptylie-0.2-r2.apk | 10.0 KiB | 2025-May-12 21:20 |
piglit-0_git20241106-r1.apk | 87.6 MiB | 2025-May-12 21:20 |
py3-pyte-0.8.2-r3.apk | 29.9 KiB | 2025-May-12 21:20 |
psi-plus-1.5.1965-r1.apk | 8.3 MiB | 2025-May-12 21:20 |
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5.6 KiB | 2025-May-12 21:20 |
psi-plus-plugins-1.5.1965-r1.apk | 1.6 MiB | 2025-May-12 21:20 |
qperf-doc-0.4.11-r2.apk | 5.6 KiB | 2025-May-12 21:20 |
quodlibet-lang-4.6.0-r2.apk | 1.2 MiB | 2025-May-12 21:20 |
py3-xlwt-1.3.0-r10.apk | 93.7 KiB | 2025-May-12 21:20 |
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10.9 KiB | 2025-May-12 21:20 |
pixiewps-1.4.2-r2.apk | 47.3 KiB | 2025-May-12 21:20 |
py3-sphinxcontrib-slide-1.0.0-r4.apk | 4.9 KiB | 2025-May-12 21:20 |
ptylie-doc-0.2-r2.apk | 3.2 KiB | 2025-May-12 21:20 |
quodlibet-bash-completion-4.6.0-r2.apk | 4.7 KiB | 2025-May-12 21:20 |
pixiewps-doc-1.4.2-r2.apk | 3.4 KiB | 2025-May-12 21:20 |
py3-pyte-pyc-0.8.2-r3.apk | 39.2 KiB | 2025-May-12 21:20 |
nzbget-25.0-r0.apk | 4.6 MiB | 2025-May-12 21:20 |
php83-pecl-phpy-1.0.11-r1.apk | 36.8 KiB | 2025-May-12 21:20 |
nzbget-openrc-25.0-r0.apk | 2.1 KiB | 2025-May-12 21:20 |
php84-pecl-phpy-1.0.11-r1.apk | 36.8 KiB | 2025-May-12 21:20 |
apk-tools3-doc-3.0.0_rc4_git20250421-r0.apk | 43.6 KiB | 2025-May-12 20:17 |
py3-apk3-3.0.0_rc4_git20250421-r0.apk | 3.9 KiB | 2025-May-12 20:17 |
apk-tools3-dev-3.0.0_rc4_git20250421-r0.apk | 24.1 KiB | 2025-May-12 20:17 |
apk-tools3-3.0.0_rc4_git20250421-r0.apk | 48.0 KiB | 2025-May-12 20:17 |
lua5.3-apk3-3.0.0_rc4_git20250421-r0.apk | 5.4 KiB | 2025-May-12 20:17 |
apk-tools3-static-3.0.0_rc4_git20250421-r0.apk | 1.9 MiB | 2025-May-12 20:17 |
libapk3-3.0.0_rc4_git20250421-r0.apk | 112.1 KiB | 2025-May-12 20:17 |
apk-tools3-dbg-3.0.0_rc4_git20250421-r0.apk | 675.9 KiB | 2025-May-12 20:17 |
pihole-doc-6.1-r0.apk | 3.7 KiB | 2025-May-12 19:12 |
pihole-openrc-6.1-r0.apk | 1.8 KiB | 2025-May-12 19:12 |
pihole-bash-completion-6.1-r0.apk | 2.2 KiB | 2025-May-12 19:12 |
pihole-6.1-r0.apk | 5.4 MiB | 2025-May-12 19:12 |
stayrtr-openrc-0.6.2-r4.apk | 2.0 KiB | 2025-May-12 17:13 |
stayrtr-0.6.2-r4.apk | 10.4 MiB | 2025-May-12 17:13 |
muse-4.2.1-r2.apk | 5.6 MiB | 2025-May-12 11:42 |
muse-doc-4.2.1-r2.apk | 4.1 MiB | 2025-May-12 11:42 |
mesonlsp-4.3.7-r3.apk | 2.0 MiB | 2025-May-12 10:01 |
rizin-0.6.3-r2.apk | 2.6 MiB | 2025-May-12 10:01 |
rizin-doc-0.6.3-r2.apk | 17.4 KiB | 2025-May-12 10:01 |
rizin-dev-0.6.3-r2.apk | 298.3 KiB | 2025-May-12 10:01 |
rizin-libs-0.6.3-r2.apk | 3.7 MiB | 2025-May-12 10:01 |
youtube-tui-0.8.3-r0.apk | 1.3 MiB | 2025-May-12 09:17 |
tenv-4.6.2-r0.apk | 9.3 MiB | 2025-May-12 09:17 |
tenv-zsh-completion-4.6.2-r0.apk | 4.0 KiB | 2025-May-12 09:17 |
tenv-fish-completion-4.6.2-r0.apk | 4.3 KiB | 2025-May-12 09:17 |
tenv-bash-completion-4.6.2-r0.apk | 6.1 KiB | 2025-May-12 09:17 |
git-cola-pyc-4.13.0-r0.apk | 782.8 KiB | 2025-May-12 08:04 |
git-cola-doc-4.13.0-r0.apk | 5.9 KiB | 2025-May-12 08:04 |
git-cola-4.13.0-r0.apk | 871.1 KiB | 2025-May-12 08:04 |
dsp-2.0-r0.apk | 141.2 KiB | 2025-May-12 07:07 |
dsp-doc-2.0-r0.apk | 10.1 KiB | 2025-May-12 07:07 |
zrepl-0.6.1-r11.apk | 6.6 MiB | 2025-May-12 07:06 |
yubikey-agent-0.1.6-r12.apk | 1.9 MiB | 2025-May-12 07:06 |
zrepl-bash-completion-0.6.1-r11.apk | 4.5 KiB | 2025-May-12 07:06 |
zrepl-openrc-0.6.1-r11.apk | 1.7 KiB | 2025-May-12 07:06 |
zrepl-zsh-completion-0.6.1-r11.apk | 1.9 KiB | 2025-May-12 07:06 |
walk-doc-1.13.0-r3.apk | 2.2 KiB | 2025-May-12 07:06 |
xmpp-dns-0.2.4-r24.apk | 1.9 MiB | 2025-May-12 07:06 |
walk-1.13.0-r3.apk | 3.0 MiB | 2025-May-12 07:06 |
wtfutil-0.43.0-r13.apk | 19.0 MiB | 2025-May-12 07:06 |
webhookd-doc-1.20.1-r4.apk | 2.3 KiB | 2025-May-12 07:06 |
webhookd-openrc-1.20.1-r4.apk | 2.3 KiB | 2025-May-12 07:06 |
wl-gammarelay-0.1.1-r14.apk | 1.6 MiB | 2025-May-12 07:06 |
webhookd-1.20.1-r4.apk | 3.2 MiB | 2025-May-12 07:06 |
webtunnel-0.0.2-r1.apk | 3.6 MiB | 2025-May-12 07:06 |
upterm-zsh-completion-0.14.3-r5.apk | 4.0 KiB | 2025-May-12 07:06 |
tty-proxy-0.0.2-r28.apk | 2.5 MiB | 2025-May-12 07:06 |
tty-share-2.4.0-r18.apk | 3.7 MiB | 2025-May-12 07:06 |
upterm-bash-completion-0.14.3-r5.apk | 5.5 KiB | 2025-May-12 07:06 |
upterm-server-openrc-0.14.3-r5.apk | 1.9 KiB | 2025-May-12 07:06 |
upterm-doc-0.14.3-r5.apk | 6.4 KiB | 2025-May-12 07:06 |
up-0.4-r29.apk | 1.2 MiB | 2025-May-12 07:06 |
upterm-server-0.14.3-r5.apk | 5.7 MiB | 2025-May-12 07:06 |
upterm-0.14.3-r5.apk | 5.8 MiB | 2025-May-12 07:06 |
tofutf-server-openrc-0.10.0-r5.apk | 2.0 KiB | 2025-May-12 07:06 |
tofutf-server-0.10.0-r5.apk | 12.9 MiB | 2025-May-12 07:06 |
timoni-0.23.0-r5.apk | 22.9 MiB | 2025-May-12 07:06 |
tofutf-agent-0.10.0-r5.apk | 8.9 MiB | 2025-May-12 07:06 |
timoni-doc-0.23.0-r5.apk | 337.9 KiB | 2025-May-12 07:06 |
tofutf-0.10.0-r5.apk | 1.3 KiB | 2025-May-12 07:06 |
tmpl-0.4.0-r11.apk | 2.6 MiB | 2025-May-12 07:06 |
tmpl-doc-0.4.0-r11.apk | 2.3 KiB | 2025-May-12 07:06 |
tofutf-cli-0.10.0-r5.apk | 8.2 MiB | 2025-May-12 07:06 |
timoni-fish-completion-0.23.0-r5.apk | 4.3 KiB | 2025-May-12 07:06 |
timoni-bash-completion-0.23.0-r5.apk | 8.0 KiB | 2025-May-12 07:06 |
timoni-zsh-completion-0.23.0-r5.apk | 4.0 KiB | 2025-May-12 07:06 |
tofutf-agent-openrc-0.10.0-r5.apk | 2.0 KiB | 2025-May-12 07:06 |
tfupdate-doc-0.8.2-r6.apk | 2.3 KiB | 2025-May-12 07:06 |
ticker-bash-completion-4.8.1-r2.apk | 4.6 KiB | 2025-May-12 07:06 |
ticker-4.8.1-r2.apk | 4.0 MiB | 2025-May-12 07:06 |
ticker-zsh-completion-4.8.1-r2.apk | 3.7 KiB | 2025-May-12 07:06 |
ticker-fish-completion-4.8.1-r2.apk | 3.9 KiB | 2025-May-12 07:06 |
taskcafe-0.3.6-r13.apk | 13.5 MiB | 2025-May-12 07:06 |
taskcafe-openrc-0.3.6-r13.apk | 1.8 KiB | 2025-May-12 07:06 |
templ-0.3.850-r2.apk | 4.6 MiB | 2025-May-12 07:06 |
tfupdate-0.8.2-r6.apk | 4.7 MiB | 2025-May-12 07:06 |
speedtest_exporter-openrc-0.3.2-r15.apk | 1.9 KiB | 2025-May-12 07:06 |
tangctl-0_git20241007-r4.apk | 2.6 MiB | 2025-May-12 07:06 |
stern-1.32.0-r4.apk | 17.7 MiB | 2025-May-12 07:06 |
stern-bash-completion-1.32.0-r4.apk | 5.8 KiB | 2025-May-12 07:06 |
ssh-cert-authority-2.0.0-r26.apk | 4.8 MiB | 2025-May-12 07:06 |
sshsrv-1.0-r12.apk | 963.0 KiB | 2025-May-12 07:06 |
stern-fish-completion-1.32.0-r4.apk | 4.3 KiB | 2025-May-12 07:06 |
stern-zsh-completion-1.32.0-r4.apk | 4.0 KiB | 2025-May-12 07:06 |
speedtest_exporter-0.3.2-r15.apk | 3.8 MiB | 2025-May-12 07:06 |
secsipidx-libs-1.3.2-r12.apk | 2.4 MiB | 2025-May-12 07:06 |
snippets-ls-0.0.4_git20240617-r5.apk | 1.3 MiB | 2025-May-12 07:06 |
speedtest-go-doc-1.1.5-r15.apk | 4.5 KiB | 2025-May-12 07:06 |
sish-openrc-2.16.1-r5.apk | 1.9 KiB | 2025-May-12 07:06 |
spacectl-bash-completion-1.12.0-r1.apk | 2.1 KiB | 2025-May-12 07:06 |
sing-box-bash-completion-1.11.9-r1.apk | 5.1 KiB | 2025-May-12 07:06 |
spacectl-zsh-completion-1.12.0-r1.apk | 1.8 KiB | 2025-May-12 07:06 |
sish-2.16.1-r5.apk | 7.8 MiB | 2025-May-12 07:06 |
speedtest-go-1.1.5-r15.apk | 5.3 MiB | 2025-May-12 07:06 |
speedtest-go-openrc-1.1.5-r15.apk | 1.7 KiB | 2025-May-12 07:06 |
sipexer-1.2.0-r3.apk | 2.8 MiB | 2025-May-12 07:06 |
sonicradio-0.6.15-r1.apk | 3.0 MiB | 2025-May-12 07:06 |
sos-0.8-r31.apk | 2.7 MiB | 2025-May-12 07:06 |
sing-box-1.11.9-r1.apk | 11.5 MiB | 2025-May-12 07:06 |
sing-box-zsh-completion-1.11.9-r1.apk | 4.1 KiB | 2025-May-12 07:06 |
spacectl-doc-1.12.0-r1.apk | 2.3 KiB | 2025-May-12 07:06 |
spacectl-fish-completion-1.12.0-r1.apk | 7.1 KiB | 2025-May-12 07:06 |
sing-box-fish-completion-1.11.9-r1.apk | 4.4 KiB | 2025-May-12 07:06 |
sing-box-openrc-1.11.9-r1.apk | 2.1 KiB | 2025-May-12 07:06 |
spacectl-1.12.0-r1.apk | 5.6 MiB | 2025-May-12 07:06 |
seaweedfs-doc-3.80-r5.apk | 13.6 KiB | 2025-May-12 07:06 |
s5cmd-2.3.0-r3.apk | 5.1 MiB | 2025-May-12 07:06 |
satellite-doc-1.0.0-r28.apk | 3.0 KiB | 2025-May-12 07:06 |
secsipidx-dev-1.3.2-r12.apk | 5.0 MiB | 2025-May-12 07:06 |
scalingo-1.30.0-r10.apk | 5.2 MiB | 2025-May-12 07:06 |
satellite-openrc-1.0.0-r28.apk | 1.9 KiB | 2025-May-12 07:06 |
satellite-1.0.0-r28.apk | 2.2 MiB | 2025-May-12 07:06 |
seaweedfs-3.80-r5.apk | 23.4 MiB | 2025-May-12 07:06 |
seaweedfs-openrc-3.80-r5.apk | 1.9 KiB | 2025-May-12 07:06 |
secsipidx-1.3.2-r12.apk | 2.7 MiB | 2025-May-12 07:06 |
rke-doc-1.4.3-r15.apk | 3.0 KiB | 2025-May-12 07:06 |
ruuvi-prometheus-0.1.9-r2.apk | 3.3 MiB | 2025-May-12 07:06 |
ruuvi-prometheus-openrc-0.1.9-r2.apk | 1.7 KiB | 2025-May-12 07:06 |
rke-1.4.3-r15.apk | 19.6 MiB | 2025-May-12 07:06 |
regclient-0.8.2-r2.apk | 13.1 MiB | 2025-May-12 07:06 |
protoconf-0.1.7-r13.apk | 7.4 MiB | 2025-May-12 07:06 |
prometheus-unbound-exporter-0.4.6-r5.apk | 3.6 MiB | 2025-May-12 07:06 |
reg-0.16.1-r28.apk | 4.5 MiB | 2025-May-12 07:06 |
pyonji-0.1.0-r5.apk | 2.8 MiB | 2025-May-12 07:06 |
prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 2.0 KiB | 2025-May-12 07:06 |
prometheus-ipmi-exporter-1.8.0-r5.apk | 4.2 MiB | 2025-May-12 07:06 |
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk | 1.9 KiB | 2025-May-12 07:06 |
prometheus-rethinkdb-exporter-1.0.1-r28.apk | 4.1 MiB | 2025-May-12 07:06 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r28.apk | 1.7 KiB | 2025-May-12 07:06 |
prometheus-podman-exporter-1.15.0-r3.apk | 14.8 MiB | 2025-May-12 07:06 |
prometheus-ipmi-exporter-doc-1.8.0-r5.apk | 6.6 KiB | 2025-May-12 07:06 |
popeye-0.22.1-r4.apk | 27.2 MiB | 2025-May-12 07:06 |
pacoloco-1.7-r1.apk | 4.7 MiB | 2025-May-12 07:06 |
pomo-0.8.1-r23.apk | 1.6 MiB | 2025-May-12 07:06 |
pomo-doc-0.8.1-r23.apk | 2.7 KiB | 2025-May-12 07:06 |
pacoloco-doc-1.7-r1.apk | 2.2 KiB | 2025-May-12 07:06 |
pacoloco-openrc-1.7-r1.apk | 1.9 KiB | 2025-May-12 07:06 |
nwg-dock-0.3.9-r11.apk | 1.6 MiB | 2025-May-12 07:06 |
oauth2-proxy-7.8.1-r2.apk | 8.5 MiB | 2025-May-12 07:06 |
nwg-bar-0.1.6-r10.apk | 1.5 MiB | 2025-May-12 07:06 |
opcr-policy-0.3.0-r4.apk | 8.6 MiB | 2025-May-12 07:06 |
nsq-1.3.0-r10.apk | 25.3 MiB | 2025-May-12 07:06 |
oauth2-proxy-openrc-7.8.1-r2.apk | 2.1 KiB | 2025-May-12 07:06 |
ostui-doc-1.0.3-r2.apk | 27.9 KiB | 2025-May-12 07:06 |
ostui-1.0.3-r2.apk | 4.7 MiB | 2025-May-12 07:06 |
noggin-0.1-r17.apk | 1.3 MiB | 2025-May-12 07:06 |
msh-2.5.0-r12.apk | 2.9 MiB | 2025-May-12 07:06 |
nom-2.8.0-r3.apk | 6.8 MiB | 2025-May-12 07:06 |
noggin-doc-0.1-r17.apk | 2.9 KiB | 2025-May-12 07:06 |
nom-doc-2.8.0-r3.apk | 4.0 KiB | 2025-May-12 07:06 |
msh-openrc-2.5.0-r12.apk | 2.0 KiB | 2025-May-12 07:06 |
mautrix-bluesky-0.1.1-r2.apk | 8.5 MiB | 2025-May-12 07:06 |
mautrix-twitter-doc-0.4.0-r1.apk | 13.2 KiB | 2025-May-12 07:06 |
mautrix-bluesky-doc-0.1.1-r2.apk | 13.2 KiB | 2025-May-12 07:06 |
mautrix-twitter-openrc-0.4.0-r1.apk | 2.0 KiB | 2025-May-12 07:06 |
mmar-0.2.5-r1.apk | 2.5 MiB | 2025-May-12 07:06 |
mkcert-1.4.4-r19.apk | 1.8 MiB | 2025-May-12 07:06 |
mobroute-doc-0.9.0-r5.apk | 1.3 MiB | 2025-May-12 07:06 |
mqtt2prometheus-0.1.7-r16.apk | 4.3 MiB | 2025-May-12 07:06 |
mobroute-0.9.0-r5.apk | 4.4 MiB | 2025-May-12 07:06 |
mautrix-discord-openrc-0.7.3-r1.apk | 2.0 KiB | 2025-May-12 07:06 |
mautrix-discord-doc-0.7.3-r1.apk | 13.2 KiB | 2025-May-12 07:06 |
mautrix-discord-0.7.3-r1.apk | 6.2 MiB | 2025-May-12 07:06 |
mautrix-bluesky-openrc-0.1.1-r2.apk | 2.0 KiB | 2025-May-12 07:06 |
mautrix-twitter-0.4.0-r1.apk | 6.2 MiB | 2025-May-12 07:06 |
mailsec-check-0_git20210729-r26.apk | 2.6 MiB | 2025-May-12 07:06 |
mangal-bash-completion-4.0.6-r18.apk | 5.0 KiB | 2025-May-12 07:06 |
mage-1.13.0-r23.apk | 1.5 MiB | 2025-May-12 07:06 |
mangal-4.0.6-r18.apk | 10.1 MiB | 2025-May-12 07:06 |
manifest-tool-2.2.0-r1.apk | 3.8 MiB | 2025-May-12 07:06 |
makeclapman-doc-2.4.4-r5.apk | 4.2 KiB | 2025-May-12 07:06 |
mangal-zsh-completion-4.0.6-r18.apk | 4.0 KiB | 2025-May-12 07:06 |
maildir2rss-0.0.7-r5.apk | 3.5 MiB | 2025-May-12 07:06 |
makeclapman-2.4.4-r5.apk | 1.3 MiB | 2025-May-12 07:06 |
mangal-fish-completion-4.0.6-r18.apk | 3.9 KiB | 2025-May-12 07:06 |
maddy-vim-0.8.1-r3.apk | 3.6 KiB | 2025-May-12 07:06 |
maddy-openrc-0.8.1-r3.apk | 2.0 KiB | 2025-May-12 07:06 |
maddy-doc-0.8.1-r3.apk | 2.4 KiB | 2025-May-12 07:06 |
lxd-scripts-5.0.3-r11.apk | 25.5 MiB | 2025-May-12 07:06 |
maddy-0.8.1-r3.apk | 9.8 MiB | 2025-May-12 07:06 |
lxd-vm-5.0.3-r11.apk | 1.3 KiB | 2025-May-12 07:06 |
lxd-feature-doc-5.20-r11.apk | 1.7 KiB | 2025-May-12 07:06 |
lxd-feature-bash-completion-5.20-r11.apk | 5.1 KiB | 2025-May-12 07:06 |
lxd-feature-openrc-5.20-r11.apk | 2.4 KiB | 2025-May-12 07:06 |
lxd-feature-scripts-5.20-r11.apk | 2.1 KiB | 2025-May-12 07:06 |
lxd-feature-5.20-r11.apk | 68.2 MiB | 2025-May-12 07:06 |
lxd-openrc-5.0.3-r11.apk | 2.5 KiB | 2025-May-12 07:06 |
lxd-bash-completion-5.0.3-r11.apk | 5.1 KiB | 2025-May-12 07:06 |
lxd-5.0.3-r11.apk | 14.6 MiB | 2025-May-12 07:06 |
lxd-client-5.0.3-r11.apk | 6.2 MiB | 2025-May-12 07:06 |
linkquisition-1.6.1-r5.apk | 11.9 MiB | 2025-May-12 07:06 |
lefthook-doc-1.11.9-r1.apk | 2.2 KiB | 2025-May-12 07:06 |
legume-doc-1.4.2-r9.apk | 12.3 KiB | 2025-May-12 07:06 |
lbb-0.9.1-r3.apk | 3.1 MiB | 2025-May-12 07:06 |
legume-1.4.2-r9.apk | 1.4 MiB | 2025-May-12 07:06 |
lefthook-1.11.9-r1.apk | 5.1 MiB | 2025-May-12 07:06 |
lbb-doc-0.9.1-r3.apk | 18.6 KiB | 2025-May-12 07:06 |
kubeseal-doc-0.29.0-r1.apk | 5.5 KiB | 2025-May-12 07:06 |
kubeseal-0.29.0-r1.apk | 11.0 MiB | 2025-May-12 07:06 |
kubepug-1.7.1-r10.apk | 16.1 MiB | 2025-May-12 07:06 |
kubepug-bash-completion-1.7.1-r10.apk | 5.1 KiB | 2025-May-12 07:06 |
kubepug-zsh-completion-1.7.1-r10.apk | 4.1 KiB | 2025-May-12 07:06 |
kubepug-fish-completion-1.7.1-r10.apk | 4.3 KiB | 2025-May-12 07:06 |
kubectl-krew-0.4.5-r2.apk | 4.5 MiB | 2025-May-12 07:06 |
kubeconform-0.6.6-r7.apk | 3.3 MiB | 2025-May-12 07:06 |
kube-no-trouble-0.7.3-r5.apk | 13.4 MiB | 2025-May-12 07:06 |
kopia-bash-completion-0.19.0-r4.apk | 1.8 KiB | 2025-May-12 07:06 |
kopia-zsh-completion-0.19.0-r4.apk | 1.8 KiB | 2025-May-12 07:06 |
kopia-0.19.0-r4.apk | 16.0 MiB | 2025-May-12 07:06 |
ko-bash-completion-0.17.1-r5.apk | 5.0 KiB | 2025-May-12 07:06 |
ko-zsh-completion-0.17.1-r5.apk | 4.0 KiB | 2025-May-12 07:06 |
kompose-1.31.2-r10.apk | 7.1 MiB | 2025-May-12 07:06 |
kompose-fish-completion-1.31.2-r10.apk | 4.4 KiB | 2025-May-12 07:06 |
kompose-bash-completion-1.31.2-r10.apk | 5.6 KiB | 2025-May-12 07:06 |
ko-fish-completion-0.17.1-r5.apk | 4.3 KiB | 2025-May-12 07:06 |
ko-0.17.1-r5.apk | 10.0 MiB | 2025-May-12 07:06 |
kompose-zsh-completion-1.31.2-r10.apk | 6.8 KiB | 2025-May-12 07:06 |
kine-doc-0.10.1-r13.apk | 5.1 KiB | 2025-May-12 07:06 |
keybase-client-6.2.8-r10.apk | 17.4 MiB | 2025-May-12 07:06 |
kine-0.10.1-r13.apk | 7.7 MiB | 2025-May-12 07:06 |
khinsider-2.0.7-r20.apk | 3.4 MiB | 2025-May-12 07:06 |
k3sup-zsh-completion-0.13.6-r5.apk | 4.0 KiB | 2025-May-12 07:06 |
jfrog-cli-2.45.0-r12.apk | 8.9 MiB | 2025-May-12 07:06 |
jsonnet-language-server-0.15.0-r4.apk | 4.1 MiB | 2025-May-12 07:06 |
k3sup-fish-completion-0.13.6-r5.apk | 4.3 KiB | 2025-May-12 07:06 |
kapow-0.7.1-r13.apk | 3.5 MiB | 2025-May-12 07:06 |
k3sup-0.13.6-r5.apk | 2.6 MiB | 2025-May-12 07:06 |
k3sup-bash-completion-0.13.6-r5.apk | 5.0 KiB | 2025-May-12 07:06 |
katana-doc-1.1.3-r1.apk | 2.3 KiB | 2025-May-12 07:06 |
jsonnet-bundler-0.6.0-r5.apk | 3.2 MiB | 2025-May-12 07:06 |
katana-1.1.3-r1.apk | 13.4 MiB | 2025-May-12 07:06 |
hubble-cli-fish-completion-0.13.6-r5.apk | 4.3 KiB | 2025-May-12 07:06 |
ijq-1.1.0-r8.apk | 1.4 MiB | 2025-May-12 07:06 |
hubble-cli-zsh-completion-0.13.6-r5.apk | 4.1 KiB | 2025-May-12 07:06 |
ircdog-0.5.4-r5.apk | 2.4 MiB | 2025-May-12 07:06 |
jackal-0.64.0-r15.apk | 11.6 MiB | 2025-May-12 07:06 |
jackal-openrc-0.64.0-r15.apk | 1.8 KiB | 2025-May-12 07:06 |
invidtui-0.4.6-r5.apk | 4.0 MiB | 2025-May-12 07:06 |
hubble-cli-bash-completion-0.13.6-r5.apk | 5.1 KiB | 2025-May-12 07:06 |
imgdiff-doc-1.0.2-r26.apk | 2.3 KiB | 2025-May-12 07:06 |
itd-1.1.0-r13.apk | 8.8 MiB | 2025-May-12 07:06 |
imgdiff-1.0.2-r26.apk | 971.6 KiB | 2025-May-12 07:06 |
ijq-doc-1.1.0-r8.apk | 3.5 KiB | 2025-May-12 07:06 |
hubble-cli-0.13.6-r5.apk | 17.5 MiB | 2025-May-12 07:06 |
hilbish-doc-2.3.4-r5.apk | 24.9 KiB | 2025-May-12 07:06 |
helmfile-fish-completion-1.0.0-r1.apk | 4.3 KiB | 2025-May-12 07:06 |
hub-zsh-completion-2.14.2-r31.apk | 3.7 KiB | 2025-May-12 07:06 |
helmfile-doc-1.0.0-r1.apk | 2.3 KiB | 2025-May-12 07:06 |
hilbish-2.3.4-r5.apk | 3.3 MiB | 2025-May-12 07:06 |
helmfile-bash-completion-1.0.0-r1.apk | 6.1 KiB | 2025-May-12 07:06 |
hub-doc-2.14.2-r31.apk | 42.0 KiB | 2025-May-12 07:06 |
hub-fish-completion-2.14.2-r31.apk | 3.3 KiB | 2025-May-12 07:06 |
hub-bash-completion-2.14.2-r31.apk | 4.6 KiB | 2025-May-12 07:06 |
helmfile-zsh-completion-1.0.0-r1.apk | 4.0 KiB | 2025-May-12 07:06 |
hub-2.14.2-r31.apk | 2.8 MiB | 2025-May-12 07:06 |
helmfile-1.0.0-r1.apk | 45.8 MiB | 2025-May-12 07:06 |
helm-ls-0.0.12-r9.apk | 11.1 MiB | 2025-May-12 07:06 |
helm-ls-doc-0.0.12-r9.apk | 2.3 KiB | 2025-May-12 07:06 |
grpcurl-1.9.3-r2.apk | 8.0 MiB | 2025-May-12 07:06 |
gx-go-doc-1.9.0-r32.apk | 2.3 KiB | 2025-May-12 07:06 |
gx-0.14.3-r30.apk | 4.6 MiB | 2025-May-12 07:06 |
helm-diff-3.11.0-r1.apk | 21.0 MiB | 2025-May-12 07:06 |
gx-doc-0.14.3-r30.apk | 2.3 KiB | 2025-May-12 07:06 |
gx-go-1.9.0-r32.apk | 4.9 MiB | 2025-May-12 07:06 |
gost-doc-2.12.0-r6.apk | 2.3 KiB | 2025-May-12 07:06 |
gost-2.12.0-r6.apk | 5.5 MiB | 2025-May-12 07:06 |
gotify-cli-2.3.2-r5.apk | 4.2 MiB | 2025-May-12 07:06 |
gotestsum-1.12.2-r1.apk | 2.3 MiB | 2025-May-12 07:06 |
gotify-openrc-2.5.0-r6.apk | 2.0 KiB | 2025-May-12 07:06 |
gotify-2.5.0-r6.apk | 9.7 MiB | 2025-May-12 07:06 |
go-mtpfs-1.0.0-r27.apk | 1.1 MiB | 2025-May-12 07:06 |
goreman-0.3.15-r13.apk | 2.4 MiB | 2025-May-12 07:06 |
go-passbolt-cli-0.3.2-r3.apk | 6.0 MiB | 2025-May-12 07:06 |
gomp-1.0.0-r12.apk | 3.5 MiB | 2025-May-12 07:06 |
gobuster-3.6.0-r12.apk | 3.5 MiB | 2025-May-12 07:06 |
ghq-zsh-completion-1.8.0-r1.apk | 2.5 KiB | 2025-May-12 07:06 |
git-bug-bash-completion-0.8.1-r1.apk | 5.3 KiB | 2025-May-12 07:06 |
gliderlabs-sigil-doc-0.11.0-r5.apk | 2.4 KiB | 2025-May-12 07:06 |
ghq-1.8.0-r1.apk | 3.6 MiB | 2025-May-12 07:06 |
geodns-logs-3.3.0-r13.apk | 4.3 MiB | 2025-May-12 07:06 |
git-bug-zsh-completion-0.8.1-r1.apk | 4.1 KiB | 2025-May-12 07:06 |
git-bug-0.8.1-r1.apk | 9.7 MiB | 2025-May-12 07:06 |
git-bug-doc-0.8.1-r1.apk | 16.9 KiB | 2025-May-12 07:06 |
geodns-openrc-3.3.0-r13.apk | 1.8 KiB | 2025-May-12 07:06 |
git-bug-fish-completion-0.8.1-r1.apk | 4.3 KiB | 2025-May-12 07:06 |
ghq-fish-completion-1.8.0-r1.apk | 2.6 KiB | 2025-May-12 07:06 |
ghq-bash-completion-1.8.0-r1.apk | 1.8 KiB | 2025-May-12 07:06 |
geodns-3.3.0-r13.apk | 4.7 MiB | 2025-May-12 07:06 |
gliderlabs-sigil-0.11.0-r5.apk | 3.2 MiB | 2025-May-12 07:06 |
ghq-doc-1.8.0-r1.apk | 5.5 KiB | 2025-May-12 07:06 |
ergo-ldap-doc-0.0.1-r17.apk | 2.3 KiB | 2025-May-12 07:06 |
gb-0.4.4-r31.apk | 6.9 MiB | 2025-May-12 07:06 |
fq-0.13.0-r5.apk | 4.4 MiB | 2025-May-12 07:06 |
fathom-1.3.1-r13.apk | 4.7 MiB | 2025-May-12 07:06 |
filebrowser-openrc-2.27.0-r11.apk | 1.8 KiB | 2025-May-12 07:06 |
filebrowser-2.27.0-r11.apk | 7.3 MiB | 2025-May-12 07:06 |
envconsul-0.13.3-r3.apk | 4.7 MiB | 2025-May-12 07:06 |
ergo-ldap-0.0.1-r17.apk | 2.2 MiB | 2025-May-12 07:06 |
docker-volume-local-persist-1.3.0-r33.apk | 2.5 MiB | 2025-May-12 07:06 |
dstask-import-0.26-r14.apk | 3.4 MiB | 2025-May-12 07:06 |
dockerize-0.9.3-r1.apk | 3.3 MiB | 2025-May-12 07:06 |
dstask-zsh-completion-0.26-r14.apk | 1.7 KiB | 2025-May-12 07:06 |
docker-auth-1.13.0-r4.apk | 9.6 MiB | 2025-May-12 07:06 |
dstask-bash-completion-0.26-r14.apk | 2.1 KiB | 2025-May-12 07:06 |
docker-volume-local-persist-openrc-1.3.0-r33.apk | 1.8 KiB | 2025-May-12 07:06 |
docker-auth-openrc-1.13.0-r4.apk | 2.1 KiB | 2025-May-12 07:06 |
draw-0.1.1-r13.apk | 954.9 KiB | 2025-May-12 07:06 |
dstask-0.26-r14.apk | 1.4 MiB | 2025-May-12 07:06 |
duf-0.8.1-r26.apk | 1.0 MiB | 2025-May-12 07:06 |
drone-cli-1.8.0-r10.apk | 5.5 MiB | 2025-May-12 07:06 |
docker-auth-doc-1.13.0-r4.apk | 10.4 KiB | 2025-May-12 07:06 |
dmarc-cat-0.15.0-r5.apk | 2.7 MiB | 2025-May-12 07:06 |
dbmate-2.26.0-r2.apk | 10.4 MiB | 2025-May-12 07:06 |
dive-0.13.0-r2.apk | 3.8 MiB | 2025-May-12 07:06 |
desync-0.9.6-r5.apk | 7.4 MiB | 2025-May-12 07:06 |
dbmate-doc-2.26.0-r2.apk | 2.3 KiB | 2025-May-12 07:06 |
crowdsec-sentinel-plugin-1.6.8-r2.apk | 8.4 MiB | 2025-May-12 07:06 |
crowdsec-slack-plugin-1.6.8-r2.apk | 8.4 MiB | 2025-May-12 07:06 |
crowdsec-splunk-plugin-1.6.8-r2.apk | 8.4 MiB | 2025-May-12 07:06 |
crowdsec-1.6.8-r2.apk | 34.3 MiB | 2025-May-12 07:06 |
crowdsec-email-plugin-1.6.8-r2.apk | 8.4 MiB | 2025-May-12 07:06 |
crowdsec-http-plugin-1.6.8-r2.apk | 8.4 MiB | 2025-May-12 07:06 |
crowdsec-openrc-1.6.8-r2.apk | 1.8 KiB | 2025-May-12 07:06 |
cortex-tenant-openrc-1.15.2-r6.apk | 2.0 KiB | 2025-May-12 07:06 |
cortex-tenant-1.15.2-r6.apk | 4.1 MiB | 2025-May-12 07:06 |
consul-replicate-0.4.0-r31.apk | 2.8 MiB | 2025-May-12 07:06 |
conntracct-0.2.7-r31.apk | 4.9 MiB | 2025-May-12 07:05 |
conntracct-openrc-0.2.7-r31.apk | 1.9 KiB | 2025-May-12 07:05 |
comics-downloader-0.33.8-r10.apk | 3.7 MiB | 2025-May-12 07:05 |
cloudflared-openrc-2024.12.1-r5.apk | 1.8 KiB | 2025-May-12 07:05 |
cloudflared-2024.12.1-r5.apk | 8.9 MiB | 2025-May-12 07:05 |
cloudflared-doc-2024.12.1-r5.apk | 1.9 KiB | 2025-May-12 07:05 |
comics-downloader-gui-0.33.8-r10.apk | 5.4 MiB | 2025-May-12 07:05 |
cilium-cli-fish-completion-0.16.13-r5.apk | 4.3 KiB | 2025-May-12 07:05 |
cilium-cli-0.16.13-r5.apk | 53.8 MiB | 2025-May-12 07:05 |
cilium-cli-bash-completion-0.16.13-r5.apk | 5.1 KiB | 2025-May-12 07:05 |
cliphist-fzf-0.6.1-r5.apk | 1.8 KiB | 2025-May-12 07:05 |
cilium-cli-zsh-completion-0.16.13-r5.apk | 4.0 KiB | 2025-May-12 07:05 |
cliphist-0.6.1-r5.apk | 912.1 KiB | 2025-May-12 07:05 |
chasquid-doc-1.15.0-r4.apk | 15.3 KiB | 2025-May-12 07:05 |
chasquid-openrc-1.15.0-r4.apk | 2.0 KiB | 2025-May-12 07:05 |
chasquid-1.15.0-r4.apk | 10.8 MiB | 2025-May-12 07:05 |
cfssl-1.6.5-r5.apk | 28.5 MiB | 2025-May-12 07:05 |
bootloose-0.7.1-r11.apk | 2.1 MiB | 2025-May-12 07:05 |
bomctl-0.1.9-r6.apk | 8.9 MiB | 2025-May-12 07:05 |
certigo-1.16.0-r23.apk | 3.6 MiB | 2025-May-12 07:05 |
bomctl-bash-completion-0.1.9-r6.apk | 5.1 KiB | 2025-May-12 07:05 |
bomctl-fish-completion-0.1.9-r6.apk | 4.3 KiB | 2025-May-12 07:05 |
bomctl-zsh-completion-0.1.9-r6.apk | 4.1 KiB | 2025-May-12 07:05 |
certstrap-1.3.0-r24.apk | 2.3 MiB | 2025-May-12 07:05 |
autorestic-1.8.3-r5.apk | 3.7 MiB | 2025-May-12 07:05 |
autoscan-openrc-1.4.0-r11.apk | 2.1 KiB | 2025-May-12 07:05 |
avahi2dns-openrc-0.1.0-r1.apk | 1.8 KiB | 2025-May-12 07:05 |
avahi2dns-0.1.0-r1.apk | 2.4 MiB | 2025-May-12 07:05 |
autoscan-1.4.0-r11.apk | 5.2 MiB | 2025-May-12 07:05 |
adguardhome-0.107.61-r1.apk | 10.4 MiB | 2025-May-12 07:05 |
antibody-6.1.1-r28.apk | 1.7 MiB | 2025-May-12 07:05 |
aports-glmr-0.2-r28.apk | 2.5 MiB | 2025-May-12 07:05 |
alpine-lift-0.2.0-r23.apk | 3.6 MiB | 2025-May-12 07:05 |
alps-0_git20230807-r12.apk | 5.4 MiB | 2025-May-12 07:05 |
aprilsh-openrc-0.7.12-r5.apk | 1.8 KiB | 2025-May-12 07:05 |
adguardhome-openrc-0.107.61-r1.apk | 2.2 KiB | 2025-May-12 07:05 |
aprilsh-server-0.7.12-r5.apk | 2.4 MiB | 2025-May-12 07:05 |
aprilsh-0.7.12-r5.apk | 1.6 KiB | 2025-May-12 07:05 |
aprilsh-client-0.7.12-r5.apk | 3.2 MiB | 2025-May-12 07:05 |
alps-openrc-0_git20230807-r12.apk | 2.0 KiB | 2025-May-12 07:05 |
aprilsh-doc-0.7.12-r5.apk | 14.4 KiB | 2025-May-12 07:05 |
acmetool-0.2.2-r13.apk | 4.4 MiB | 2025-May-12 07:05 |
acmetool-doc-0.2.2-r13.apk | 46.7 KiB | 2025-May-12 07:05 |
sympow-doc-2.023.7-r2.apk | 3.1 KiB | 2025-May-11 11:57 |
sympow-2.023.7-r2.apk | 1.8 MiB | 2025-May-11 11:57 |
suru-icon-theme-2025.05.0-r0.apk | 2.9 MiB | 2025-May-11 11:57 |
spreadtrum_flash-1.20240815-r0.apk | 31.1 KiB | 2025-May-11 11:57 |
snapper-doc-0.12.2-r0.apk | 25.0 KiB | 2025-May-11 11:57 |
snapper-0.12.2-r0.apk | 871.4 KiB | 2025-May-11 11:57 |
snapper-bash-completion-0.12.2-r0.apk | 3.1 KiB | 2025-May-11 11:57 |
sing-geosite-20250428010409-r0.apk | 1.1 MiB | 2025-May-11 11:57 |
sing-geoip-20250412-r0.apk | 2.1 MiB | 2025-May-11 11:57 |
singular-dev-4.4.1-r2.apk | 363.6 KiB | 2025-May-11 11:57 |
snapraid-12.4-r0.apk | 249.5 KiB | 2025-May-11 11:57 |
singular-static-4.4.1-r2.apk | 4.8 MiB | 2025-May-11 11:57 |
snapper-zsh-completion-0.12.2-r0.apk | 3.6 KiB | 2025-May-11 11:57 |
singular-doc-4.4.1-r2.apk | 1.3 MiB | 2025-May-11 11:57 |
snapraid-doc-12.4-r0.apk | 17.3 KiB | 2025-May-11 11:57 |
serie-0.4.6-r0.apk | 724.7 KiB | 2025-May-11 11:57 |
serie-doc-0.4.6-r0.apk | 6.6 KiB | 2025-May-11 11:57 |
snapper-lang-0.12.2-r0.apk | 211.9 KiB | 2025-May-11 11:57 |
singular-emacs-4.4.1-r2.apk | 101.4 KiB | 2025-May-11 11:57 |
singular-4.4.1-r2.apk | 9.5 MiB | 2025-May-11 11:57 |
snapper-dev-0.12.2-r0.apk | 10.4 KiB | 2025-May-11 11:57 |
qadwaitadecorations-0.1.6-r0.apk | 41.6 KiB | 2025-May-11 11:57 |
py3-tokenizers-pyc-0.21.1-r0.apk | 28.9 KiB | 2025-May-11 11:57 |
py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10.3 KiB | 2025-May-11 11:57 |
py3-tokenizers-0.21.1-r0.apk | 1.5 MiB | 2025-May-11 11:57 |
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16.0 KiB | 2025-May-11 11:57 |
primesieve-12.8-r0.apk | 39.9 KiB | 2025-May-11 11:57 |
perl-lexical-persistence-doc-1.023-r0.apk | 7.6 KiB | 2025-May-11 11:57 |
py3-dunamai-pyc-1.24.0-r0.apk | 43.4 KiB | 2025-May-11 11:57 |
perl-data-dump-streamer-2.42-r0.apk | 48.7 KiB | 2025-May-11 11:57 |
prowlarr-1.35.1.5034-r0.apk | 19.6 MiB | 2025-May-11 11:57 |
perl-lexical-persistence-1.023-r0.apk | 7.5 KiB | 2025-May-11 11:57 |
primesieve-dev-12.8-r0.apk | 1.2 MiB | 2025-May-11 11:57 |
perl-b-utils-doc-0.27-r0.apk | 9.4 KiB | 2025-May-11 11:57 |
perl-catalystx-simplelogin-doc-0.21-r0.apk | 24.2 KiB | 2025-May-11 11:57 |
perl-moosex-relatedclassroles-0.004-r0.apk | 2.8 KiB | 2025-May-11 11:57 |
perl-data-clone-0.006-r0.apk | 8.9 KiB | 2025-May-11 11:57 |
py3-cryptg-pyc-0.5.0-r0.apk | 1.9 KiB | 2025-May-11 11:57 |
perl-data-dump-streamer-doc-2.42-r0.apk | 17.2 KiB | 2025-May-11 11:57 |
perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3.3 KiB | 2025-May-11 11:57 |
py3-cryptg-0.5.0-r0.apk | 166.4 KiB | 2025-May-11 11:57 |
perl-catalystx-simplelogin-0.21-r0.apk | 10.7 KiB | 2025-May-11 11:57 |
par2cmdline-turbo-doc-1.3.0-r0.apk | 5.9 KiB | 2025-May-11 11:57 |
perl-html-formhandler-doc-0.40068-r0.apk | 323.2 KiB | 2025-May-11 11:57 |
py3-ovos-bus-client-1.3.4-r0.apk | 50.0 KiB | 2025-May-11 11:57 |
perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3.6 KiB | 2025-May-11 11:57 |
primesieve-libs-12.8-r0.apk | 105.4 KiB | 2025-May-11 11:57 |
par2cmdline-turbo-1.3.0-r0.apk | 162.1 KiB | 2025-May-11 11:57 |
perl-carp-repl-0.18-r0.apk | 6.0 KiB | 2025-May-11 11:57 |
py3-ovos-bus-client-pyc-1.3.4-r0.apk | 89.0 KiB | 2025-May-11 11:57 |
py3-b2sdk-2.8.1-r0.apk | 214.9 KiB | 2025-May-11 11:57 |
perl-crypt-blowfish-2.14-r0.apk | 12.1 KiB | 2025-May-11 11:57 |
perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4.2 KiB | 2025-May-11 11:57 |
perl-catalystx-repl-0.04-r0.apk | 3.3 KiB | 2025-May-11 11:57 |
ocaml-camlpdf-2.8.1-r0.apk | 6.0 MiB | 2025-May-11 11:57 |
primesieve-doc-12.8-r0.apk | 4.1 KiB | 2025-May-11 11:57 |
openocd-esp32-doc-0_git20250422-r0.apk | 3.2 KiB | 2025-May-11 11:57 |
perl-html-formhandler-0.40068-r0.apk | 135.5 KiB | 2025-May-11 11:57 |
perl-moosex-types-loadableclass-0.016-r0.apk | 3.2 KiB | 2025-May-11 11:57 |
perl-catalystx-repl-doc-0.04-r0.apk | 3.6 KiB | 2025-May-11 11:57 |
ocaml-cpdf-2.8.1-r0.apk | 4.9 MiB | 2025-May-11 11:57 |
py3-b2sdk-pyc-2.8.1-r0.apk | 402.6 KiB | 2025-May-11 11:57 |
py3-dunamai-1.24.0-r0.apk | 26.5 KiB | 2025-May-11 11:57 |
php81-pecl-maxminddb-1.12.1-r0.apk | 7.8 KiB | 2025-May-11 11:57 |
py3-dbus-fast-2.44.1-r0.apk | 770.8 KiB | 2025-May-11 11:57 |
perl-catalystx-component-traits-doc-0.19-r0.apk | 4.0 KiB | 2025-May-11 11:57 |
php81-pecl-ds-1.6.0-r0.apk | 49.3 KiB | 2025-May-11 11:57 |
perl-b-utils-0.27-r0.apk | 18.5 KiB | 2025-May-11 11:57 |
perl-nice-try-1.3.16-r0.apk | 27.8 KiB | 2025-May-11 11:57 |
perl-crypt-blowfish-doc-2.14-r0.apk | 4.0 KiB | 2025-May-11 11:57 |
ol-2.6-r0.apk | 1.0 MiB | 2025-May-11 11:57 |
py3-dbus-fast-doc-2.44.1-r0.apk | 6.3 KiB | 2025-May-11 11:57 |
perl-moosex-traits-pluggable-0.12-r0.apk | 4.9 KiB | 2025-May-11 11:57 |
py3-poetry-dynamic-versioning-1.8.2-r0.apk | 20.3 KiB | 2025-May-11 11:57 |
perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3.7 KiB | 2025-May-11 11:57 |
perl-nice-try-doc-1.3.16-r0.apk | 12.5 KiB | 2025-May-11 11:57 |
openocd-esp32-dev-0_git20250422-r0.apk | 3.7 KiB | 2025-May-11 11:57 |
perl-catalyst-actionrole-acl-0.07-r0.apk | 4.3 KiB | 2025-May-11 11:57 |
perl-catalystx-component-traits-0.19-r0.apk | 4.3 KiB | 2025-May-11 11:57 |
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3.4 KiB | 2025-May-11 11:57 |
perl-data-clone-doc-0.006-r0.apk | 4.5 KiB | 2025-May-11 11:57 |
ol-dev-2.6-r0.apk | 15.8 KiB | 2025-May-11 11:57 |
py3-poetry-dynamic-versioning-pyc-1.8.2-r0.apk | 26.3 KiB | 2025-May-11 11:57 |
openocd-esp32-udev-rules-0_git20250422-r0.apk | 3.5 KiB | 2025-May-11 11:57 |
ol-doc-2.6-r0.apk | 2.6 KiB | 2025-May-11 11:57 |
openocd-esp32-0_git20250422-r0.apk | 1.9 MiB | 2025-May-11 11:57 |
perl-carp-repl-doc-0.18-r0.apk | 5.9 KiB | 2025-May-11 11:57 |
perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5.7 KiB | 2025-May-11 11:57 |
php81-pecl-grpc-1.72.0-r0.apk | 4.0 MiB | 2025-May-11 11:57 |
py3-dbus-fast-pyc-2.44.1-r0.apk | 128.9 KiB | 2025-May-11 11:57 |
prowlarr-openrc-1.35.1.5034-r0.apk | 2.0 KiB | 2025-May-11 11:57 |
perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3.7 KiB | 2025-May-11 11:57 |
perl-catalystx-injectcomponent-0.025-r0.apk | 3.4 KiB | 2025-May-11 11:57 |
nicotine-plus-lang-3.3.10-r0.apk | 757.0 KiB | 2025-May-11 11:57 |
lomiri-app-launch-0.1.12-r0.apk | 287.9 KiB | 2025-May-11 11:57 |
lomiri-terminal-app-doc-2.0.5-r0.apk | 2.7 KiB | 2025-May-11 11:57 |
lomiri-0.5.0-r0.apk | 3.8 MiB | 2025-May-11 11:57 |
neocmakelsp-bash-completion-0.8.22-r0.apk | 2.0 KiB | 2025-May-11 11:57 |
moosefs-cgiserv-4.56.6-r1.apk | 7.8 KiB | 2025-May-11 11:57 |
moosefs-master-4.56.6-r1.apk | 339.9 KiB | 2025-May-11 11:57 |
lomiri-system-settings-1.3.2-r0.apk | 997.6 KiB | 2025-May-11 11:57 |
lomiri-system-settings-lang-1.3.2-r0.apk | 846.1 KiB | 2025-May-11 11:57 |
megatools-bash-completion-1.11.4.20250411-r0.apk | 4.1 KiB | 2025-May-11 11:57 |
meep-dev-1.30.0-r0.apk | 480.8 KiB | 2025-May-11 11:57 |
lomiri-indicator-network-doc-1.1.1-r0.apk | 2.1 KiB | 2025-May-11 11:57 |
lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3.3 KiB | 2025-May-11 11:57 |
malcontent-dev-0.12.0-r0.apk | 23.9 KiB | 2025-May-11 11:57 |
meli-0.8.11-r0.apk | 4.4 MiB | 2025-May-11 11:57 |
nicotine-plus-3.3.10-r0.apk | 1.6 MiB | 2025-May-11 11:57 |
nvtop-3.2.0-r0.apk | 64.4 KiB | 2025-May-11 11:57 |
nfcd-dev-1.2.2-r0.apk | 24.1 KiB | 2025-May-11 11:57 |
megatools-1.11.4.20250411-r0.apk | 60.0 KiB | 2025-May-11 11:57 |
moosefs-4.56.6-r1.apk | 261.9 KiB | 2025-May-11 11:57 |
moosefs-chunkserver-openrc-4.56.6-r1.apk | 1.7 KiB | 2025-May-11 11:57 |
lomiri-terminal-app-2.0.5-r0.apk | 62.2 KiB | 2025-May-11 11:57 |
lomiri-url-dispatcher-lang-0.1.4-r0.apk | 25.9 KiB | 2025-May-11 11:57 |
lomiri-terminal-app-lang-2.0.5-r0.apk | 91.4 KiB | 2025-May-11 11:57 |
meli-doc-0.8.11-r0.apk | 48.4 KiB | 2025-May-11 11:57 |
lomiri-app-launch-dev-0.1.12-r0.apk | 20.1 KiB | 2025-May-11 11:57 |
lomiri-lang-0.5.0-r0.apk | 269.2 KiB | 2025-May-11 11:57 |
moosefs-cgi-4.56.6-r1.apk | 121.2 KiB | 2025-May-11 11:57 |
normaliz-3.10.4-r1.apk | 37.1 KiB | 2025-May-11 11:57 |
mapserver-8.4.0-r1.apk | 1.2 MiB | 2025-May-11 11:57 |
moosefs-doc-4.56.6-r1.apk | 95.2 KiB | 2025-May-11 11:57 |
moosefs-client-4.56.6-r1.apk | 637.6 KiB | 2025-May-11 11:57 |
moosefs-static-4.56.6-r1.apk | 704.5 KiB | 2025-May-11 11:57 |
moosefs-chunkserver-4.56.6-r1.apk | 207.2 KiB | 2025-May-11 11:57 |
neocmakelsp-zsh-completion-0.8.22-r0.apk | 1.8 KiB | 2025-May-11 11:57 |
lomiri-indicator-network-1.1.1-r0.apk | 539.8 KiB | 2025-May-11 11:57 |
normaliz-dev-3.10.4-r1.apk | 72.6 KiB | 2025-May-11 11:57 |
lomiri-indicator-network-dev-1.1.1-r0.apk | 9.5 KiB | 2025-May-11 11:57 |
normaliz-libs-3.10.4-r1.apk | 2.4 MiB | 2025-May-11 11:57 |
nicotine-plus-doc-3.3.10-r0.apk | 2.6 KiB | 2025-May-11 11:57 |
nicotine-plus-pyc-3.3.10-r0.apk | 788.9 KiB | 2025-May-11 11:57 |
neocmakelsp-doc-0.8.22-r0.apk | 5.6 KiB | 2025-May-11 11:57 |
neocmakelsp-0.8.22-r0.apk | 1.5 MiB | 2025-May-11 11:57 |
lomiri-clock-app-4.1.1-r0.apk | 213.0 KiB | 2025-May-11 11:57 |
moosefs-master-openrc-4.56.6-r1.apk | 1.7 KiB | 2025-May-11 11:57 |
moosefs-cgiserv-openrc-4.56.6-r1.apk | 2.0 KiB | 2025-May-11 11:57 |
meep-1.30.0-r0.apk | 580.5 KiB | 2025-May-11 11:57 |
lomiri-indicator-network-lang-1.1.1-r0.apk | 195.5 KiB | 2025-May-11 11:57 |
lomiri-clock-app-lang-4.1.1-r0.apk | 456.0 KiB | 2025-May-11 11:57 |
nfcd-1.2.2-r0.apk | 240.3 KiB | 2025-May-11 11:57 |
moosefs-metalogger-openrc-4.56.6-r1.apk | 1.7 KiB | 2025-May-11 11:57 |
malcontent-0.12.0-r0.apk | 143.1 KiB | 2025-May-11 11:57 |
nvtop-doc-3.2.0-r0.apk | 3.5 KiB | 2025-May-11 11:57 |
lomiri-url-dispatcher-0.1.4-r0.apk | 33.7 KiB | 2025-May-11 11:57 |
megatools-doc-1.11.4.20250411-r0.apk | 52.1 KiB | 2025-May-11 11:57 |
malcontent-doc-0.12.0-r0.apk | 44.7 KiB | 2025-May-11 11:57 |
moosefs-metalogger-4.56.6-r1.apk | 37.9 KiB | 2025-May-11 11:57 |
mapserver-dev-8.4.0-r1.apk | 539.9 KiB | 2025-May-11 11:57 |
lomiri-schemas-0.1.8-r0.apk | 10.4 KiB | 2025-May-11 11:57 |
neocmakelsp-fish-completion-0.8.22-r0.apk | 1.6 KiB | 2025-May-11 11:57 |
lcalc-2.1.0-r0.apk | 164.5 KiB | 2025-May-11 11:57 |
libglib-testing-dev-0.1.1-r0.apk | 5.5 KiB | 2025-May-11 11:57 |
libstirshaken-tools-0_git20240208-r4.apk | 144.4 KiB | 2025-May-11 11:57 |
libstirshaken-dev-0_git20240208-r4.apk | 76.5 KiB | 2025-May-11 11:57 |
lidarr-openrc-2.11.2.4629-r0.apk | 2.0 KiB | 2025-May-11 11:57 |
libandroidfw-dev-0_git20250325-r1.apk | 7.2 KiB | 2025-May-11 11:57 |
libglib-testing-doc-0.1.1-r0.apk | 25.0 KiB | 2025-May-11 11:57 |
lcalc-libs-2.1.0-r0.apk | 232.3 KiB | 2025-May-11 11:57 |
libglib-testing-0.1.1-r0.apk | 11.2 KiB | 2025-May-11 11:57 |
lcalc-doc-2.1.0-r0.apk | 436.8 KiB | 2025-May-11 11:57 |
libcpdf-dev-2.8.1-r0.apk | 16.7 KiB | 2025-May-11 11:57 |
libstirshaken-0_git20240208-r4.apk | 48.3 KiB | 2025-May-11 11:57 |
libcpdf-static-2.8.1-r0.apk | 3.2 MiB | 2025-May-11 11:57 |
libeantic-dev-2.1.0-r0.apk | 17.5 KiB | 2025-May-11 11:57 |
libandroidfw-0_git20250325-r1.apk | 359.1 KiB | 2025-May-11 11:57 |
libeantic-2.1.0-r0.apk | 70.7 KiB | 2025-May-11 11:57 |
lidarr-2.11.2.4629-r0.apk | 20.4 MiB | 2025-May-11 11:57 |
lcalc-dev-2.1.0-r0.apk | 51.4 KiB | 2025-May-11 11:57 |
libcpdf-2.8.1-r0.apk | 2.2 MiB | 2025-May-11 11:57 |
jaq-2.2.0-r0.apk | 563.9 KiB | 2025-May-11 11:57 |
homebank-5.9.1-r0.apk | 2.0 MiB | 2025-May-11 11:57 |
hurl-fish-completion-6.1.1-r0.apk | 3.5 KiB | 2025-May-11 11:57 |
homebank-lang-5.9.1-r0.apk | 942.4 KiB | 2025-May-11 11:57 |
jaq-doc-2.2.0-r0.apk | 2.2 KiB | 2025-May-11 11:57 |
hurlfmt-6.1.1-r0.apk | 770.2 KiB | 2025-May-11 11:57 |
hurl-bash-completion-6.1.1-r0.apk | 2.3 KiB | 2025-May-11 11:57 |
hurl-doc-6.1.1-r0.apk | 8.8 KiB | 2025-May-11 11:57 |
kcbench-0.9.12-r0.apk | 37.2 KiB | 2025-May-11 11:57 |
kcbench-doc-0.9.12-r0.apk | 20.3 KiB | 2025-May-11 11:57 |
hurl-6.1.1-r0.apk | 1.6 MiB | 2025-May-11 11:57 |
hurl-zsh-completion-6.1.1-r0.apk | 4.0 KiB | 2025-May-11 11:57 |
identities-0.1.4-r0.apk | 13.4 KiB | 2025-May-11 11:57 |
jedi-language-server-pyc-0.45.1-r0.apk | 49.7 KiB | 2025-May-11 11:57 |
jedi-language-server-0.45.1-r0.apk | 31.7 KiB | 2025-May-11 11:57 |
godot-templates-4.4.1-r0.apk | 43.7 MiB | 2025-May-11 11:57 |
godot-4.4.1-r0.apk | 55.9 MiB | 2025-May-11 11:57 |
godot-doc-4.4.1-r0.apk | 4.5 KiB | 2025-May-11 11:57 |
gmic-libs-3.5.4-r0.apk | 3.0 MiB | 2025-May-11 11:57 |
gmic-qt-3.5.4-r0.apk | 1.5 MiB | 2025-May-11 11:57 |
gmic-3.5.4-r0.apk | 12.3 MiB | 2025-May-11 11:57 |
gmic-dev-3.5.4-r0.apk | 7.6 KiB | 2025-May-11 11:57 |
gmic-doc-3.5.4-r0.apk | 221.6 KiB | 2025-May-11 11:57 |
gmic-bash-completion-3.5.4-r0.apk | 28.5 KiB | 2025-May-11 11:57 |
fheroes2-1.1.8-r0.apk | 1.5 MiB | 2025-May-11 11:57 |
flamelens-0.3.1-r0.apk | 1.0 MiB | 2025-May-11 11:57 |
flamelens-doc-0.3.1-r0.apk | 3.7 KiB | 2025-May-11 11:57 |
flint-3.2.2-r0.apk | 3.8 MiB | 2025-May-11 11:57 |
emacs-total-recall-0_git20250426-r0.apk | 17.6 KiB | 2025-May-11 11:57 |
fheroes2-lang-1.1.8-r0.apk | 1.7 MiB | 2025-May-11 11:57 |
gimp-plugin-gmic-3.5.4-r0.apk | 1.2 MiB | 2025-May-11 11:57 |
emacs-total-recall-examples-0_git20250426-r0.apk | 13.9 KiB | 2025-May-11 11:57 |
flint-dev-3.2.2-r0.apk | 308.3 KiB | 2025-May-11 11:57 |
eclib-doc-20250122-r1.apk | 28.0 KiB | 2025-May-11 11:57 |
eclib-20250122-r1.apk | 272.7 KiB | 2025-May-11 11:57 |
eclib-dev-20250122-r1.apk | 94.0 KiB | 2025-May-11 11:57 |
eclib-libs-20250122-r1.apk | 1.0 MiB | 2025-May-11 11:57 |
eclib-static-20250122-r1.apk | 19.9 MiB | 2025-May-11 11:57 |
b2-tools-pyc-4.3.2-r0.apk | 135.9 KiB | 2025-May-11 11:57 |
art_standalone-dev-0_git20250325-r1.apk | 8.6 MiB | 2025-May-11 11:57 |
bash-pinyin-completion-rs-doc-0.2.3-r0.apk | 13.6 KiB | 2025-May-11 11:57 |
b2-tools-4.3.2-r0.apk | 72.5 KiB | 2025-May-11 11:57 |
cosmic-icons-1.0.0_alpha7-r0.apk | 231.5 KiB | 2025-May-11 11:57 |
cargo-flamegraph-zsh-completion-0.6.8-r0.apk | 2.9 KiB | 2025-May-11 11:57 |
cargo-flamegraph-bash-completion-0.6.8-r0.apk | 2.4 KiB | 2025-May-11 11:57 |
cpdf-2.8.1-r0.apk | 2.0 MiB | 2025-May-11 11:57 |
cpdf-doc-2.8.1-r0.apk | 558.0 KiB | 2025-May-11 11:57 |
cargo-flamegraph-doc-0.6.8-r0.apk | 14.6 KiB | 2025-May-11 11:57 |
cargo-flamegraph-0.6.8-r0.apk | 1.1 MiB | 2025-May-11 11:57 |
cargo-flamegraph-fish-completion-0.6.8-r0.apk | 2.6 KiB | 2025-May-11 11:57 |
art_standalone-dbg-0_git20250325-r1.apk | 132.4 MiB | 2025-May-11 11:57 |
bash-pinyin-completion-rs-0.2.3-r0.apk | 592.2 KiB | 2025-May-11 11:57 |
commit-lsp-0.1.0-r0.apk | 1.9 MiB | 2025-May-11 11:57 |
art_standalone-0_git20250325-r1.apk | 17.3 MiB | 2025-May-11 11:57 |
adbd-0_git20250325-r1.apk | 34.1 KiB | 2025-May-11 11:57 |
perl-devel-repl-1.003029-r0.apk | 28.3 KiB | 2025-Apr-24 14:50 |
perl-devel-repl-doc-1.003029-r0.apk | 60.1 KiB | 2025-Apr-24 14:50 |
dodo-pyc-0_git20250313-r0.apk | 86.9 KiB | 2025-Apr-24 08:41 |
dodo-0_git20250313-r0.apk | 186.9 KiB | 2025-Apr-24 08:41 |
unit-php81-1.34.2-r1.apk | 26.8 KiB | 2025-Apr-24 00:44 |
typobuster-1.0.0-r0.apk | 129.4 KiB | 2025-Apr-23 22:28 |
sshs-4.7.2-r0.apk | 638.6 KiB | 2025-Apr-23 22:28 |
timeshift-doc-24.06.6-r0.apk | 3.2 KiB | 2025-Apr-23 22:28 |
timeshift-lang-24.06.6-r0.apk | 916.8 KiB | 2025-Apr-23 22:28 |
timeshift-24.06.6-r0.apk | 440.8 KiB | 2025-Apr-23 22:28 |
ruby-hashdiff-doc-1.1.1-r1.apk | 2.2 KiB | 2025-Apr-23 20:24 |
ruby-dry-inflector-1.1.0-r1.apk | 8.2 KiB | 2025-Apr-23 20:24 |
ruby-hashdiff-1.1.1-r1.apk | 8.4 KiB | 2025-Apr-23 20:24 |
ruby-dry-inflector-doc-1.1.0-r1.apk | 2.3 KiB | 2025-Apr-23 20:24 |
ruby-facter-4.9.0-r1.apk | 218.2 KiB | 2025-Apr-23 20:24 |
emacs-taxy-0.10.2-r0.apk | 11.5 KiB | 2025-Apr-23 05:42 |
emacs-embark-consult-1.1-r0.apk | 10.4 KiB | 2025-Apr-23 05:42 |
emacs-taxy-magit-section-0.14.3-r0.apk | 17.7 KiB | 2025-Apr-23 05:42 |
emacs-avy-embark-collect-1.1-r0.apk | 3.8 KiB | 2025-Apr-23 05:42 |
emacs-embark-1.1-r0.apk | 110.9 KiB | 2025-Apr-23 05:42 |
emacs-elfeed-3.4.2-r0.apk | 90.6 KiB | 2025-Apr-23 05:11 |
emacs-ement-0.16-r0.apk | 290.7 KiB | 2025-Apr-23 05:11 |
perl-module-generic-0.43.3-r0.apk | 272.5 KiB | 2025-Apr-23 04:15 |
perl-module-generic-doc-0.43.3-r0.apk | 214.4 KiB | 2025-Apr-23 04:15 |
php84-pecl-solr-2.8.1-r0.apk | 82.9 KiB | 2025-Apr-23 04:15 |
wayqt-0.3.0-r0.apk | 92.5 KiB | 2025-Apr-22 21:24 |
way-displays-1.14.0-r0.apk | 88.3 KiB | 2025-Apr-22 21:24 |
way-displays-doc-1.14.0-r0.apk | 4.6 KiB | 2025-Apr-22 21:24 |
virtualgl-dev-3.1.3-r0.apk | 6.1 KiB | 2025-Apr-22 21:24 |
virtualgl-3.1.3-r0.apk | 1.6 MiB | 2025-Apr-22 21:24 |
wayqt-dev-0.3.0-r0.apk | 18.4 KiB | 2025-Apr-22 21:24 |
virtualgl-doc-3.1.3-r0.apk | 313.7 KiB | 2025-Apr-22 21:24 |
py3-flask-security-5.6.1-r0.apk | 294.5 KiB | 2025-Apr-22 21:24 |
py3-recurring-ical-events-pyc-3.7.0-r0.apk | 48.5 KiB | 2025-Apr-22 21:24 |
symlinks-1.4.3-r0.apk | 5.7 KiB | 2025-Apr-22 21:24 |
py3-remind-0.19.2-r0.apk | 24.4 KiB | 2025-Apr-22 21:24 |
perl-test-expect-0.34-r0.apk | 3.5 KiB | 2025-Apr-22 21:24 |
perl-test-expect-doc-0.34-r0.apk | 3.6 KiB | 2025-Apr-22 21:24 |
makedumpfile-doc-1.7.7-r0.apk | 23.7 KiB | 2025-Apr-22 21:24 |
symlinks-doc-1.4.3-r0.apk | 3.9 KiB | 2025-Apr-22 21:24 |
py3-remind-pyc-0.19.2-r0.apk | 22.5 KiB | 2025-Apr-22 21:24 |
perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5.5 KiB | 2025-Apr-22 21:24 |
py3-recurring-ical-events-3.7.0-r0.apk | 49.0 KiB | 2025-Apr-22 21:24 |
makedumpfile-1.7.7-r0.apk | 161.2 KiB | 2025-Apr-22 21:24 |
perl-moosex-object-pluggable-0.0014-r0.apk | 6.0 KiB | 2025-Apr-22 21:24 |
py3-flask-security-pyc-5.6.1-r0.apk | 226.9 KiB | 2025-Apr-22 21:24 |
makedumpfile-openrc-1.7.7-r0.apk | 2.9 KiB | 2025-Apr-22 21:24 |
heisenbridge-pyc-1.15.3-r0.apk | 155.0 KiB | 2025-Apr-20 05:17 |
heisenbridge-1.15.3-r0.apk | 67.2 KiB | 2025-Apr-20 05:17 |
perl-expect-simple-doc-0.04-r0.apk | 4.9 KiB | 2025-Apr-20 04:38 |
perl-expect-simple-0.04-r0.apk | 5.5 KiB | 2025-Apr-20 04:38 |
perl-test-www-mechanize-catalyst-0.62-r0.apk | 7.4 KiB | 2025-Apr-20 04:32 |
perl-catalyst-plugin-session-state-cookie-doc-0..> | 4.8 KiB | 2025-Apr-20 04:32 |
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6.2 KiB | 2025-Apr-20 04:32 |
perl-catalyst-plugin-session-state-cookie-0.18-..> | 4.9 KiB | 2025-Apr-20 04:32 |
perl-expect-doc-1.38-r0.apk | 20.3 KiB | 2025-Apr-19 19:01 |
perl-expect-1.38-r0.apk | 32.4 KiB | 2025-Apr-19 19:01 |
manuals-48.1-r0.apk | 97.3 KiB | 2025-Apr-19 19:01 |
perl-test-file-doc-1.995-r0.apk | 6.8 KiB | 2025-Apr-19 17:55 |
perl-test-file-1.995-r0.apk | 11.4 KiB | 2025-Apr-19 17:55 |
py3-plotly-5.24.1-r2.apk | 20.5 MiB | 2025-Apr-18 22:10 |
way-secure-0.2.0-r0.apk | 179.6 KiB | 2025-Apr-18 22:10 |
way-secure-doc-0.2.0-r0.apk | 3.0 KiB | 2025-Apr-18 22:10 |
usbmuxd-udev-1.1.1-r9.apk | 2.0 KiB | 2025-Apr-18 14:39 |
usbmuxd-1.1.1-r9.apk | 31.4 KiB | 2025-Apr-18 14:39 |
usbmuxd-doc-1.1.1-r9.apk | 3.0 KiB | 2025-Apr-18 14:39 |
xml2rfc-3.28.1-r0.apk | 351.8 KiB | 2025-Apr-18 11:01 |
xml2rfc-pyc-3.28.1-r0.apk | 407.5 KiB | 2025-Apr-18 11:01 |
mir-2.20.2-r0.apk | 1.9 MiB | 2025-Apr-18 04:13 |
rtaudio-doc-6.0.1-r0.apk | 194.0 KiB | 2025-Apr-18 04:13 |
jacktrip-2.5.1-r0.apk | 918.8 KiB | 2025-Apr-18 04:13 |
mir-demos-2.20.2-r0.apk | 131.0 KiB | 2025-Apr-18 04:13 |
py3-markdownify-1.1.0-r0.apk | 14.7 KiB | 2025-Apr-18 04:13 |
rtaudio-6.0.1-r0.apk | 35.8 KiB | 2025-Apr-18 04:13 |
jacktrip-doc-2.5.1-r0.apk | 6.4 KiB | 2025-Apr-18 04:13 |
rtaudio-dev-6.0.1-r0.apk | 63.5 KiB | 2025-Apr-18 04:13 |
qtmir-0.7.2_git20250407-r0.apk | 456.5 KiB | 2025-Apr-18 04:13 |
mir-dev-2.20.2-r0.apk | 7.2 MiB | 2025-Apr-18 04:13 |
qtmir-dev-0.7.2_git20250407-r0.apk | 6.6 KiB | 2025-Apr-18 04:13 |
mir-test-tools-2.20.2-r0.apk | 251.8 KiB | 2025-Apr-18 04:13 |
py3-markdownify-pyc-1.1.0-r0.apk | 17.1 KiB | 2025-Apr-18 04:13 |
davmail-6.3.0-r0.apk | 9.4 MiB | 2025-Apr-17 17:51 |
py3-pysonic-1.0.3-r0.apk | 34.8 KiB | 2025-Apr-16 22:35 |
py3-pysonic-pyc-1.0.3-r0.apk | 32.2 KiB | 2025-Apr-16 22:35 |
yarn-berry-4.9.1-r0.apk | 1.0 MiB | 2025-Apr-15 22:14 |
zita-resampler-doc-1.11.2-r0.apk | 4.0 KiB | 2025-Apr-14 21:16 |
zita-resampler-dev-1.11.2-r0.apk | 3.3 KiB | 2025-Apr-14 21:16 |
zita-resampler-1.11.2-r0.apk | 15.9 KiB | 2025-Apr-14 21:16 |
capnet-assist-lang-8.0.0-r0.apk | 37.2 KiB | 2025-Apr-14 10:07 |
capnet-assist-8.0.0-r0.apk | 42.5 KiB | 2025-Apr-14 10:07 |
py3-xsdata-pyc-25.4-r0.apk | 392.7 KiB | 2025-Apr-14 09:33 |
py3-xsdata-25.4-r0.apk | 189.7 KiB | 2025-Apr-14 09:33 |
telegram-bot-api-9.0-r0.apk | 6.5 MiB | 2025-Apr-14 01:10 |
fuseiso-doc-20070708-r0.apk | 2.6 KiB | 2025-Apr-14 01:10 |
fuseiso-20070708-r0.apk | 14.9 KiB | 2025-Apr-14 01:10 |
telegram-tdlib-static-1.8.47-r0.apk | 18.9 MiB | 2025-Apr-14 01:06 |
telegram-tdlib-1.8.47-r0.apk | 6.4 MiB | 2025-Apr-14 01:06 |
telegram-tdlib-dev-1.8.47-r0.apk | 182.1 KiB | 2025-Apr-14 01:06 |
zapzap-6.0.1.8-r0.apk | 108.8 KiB | 2025-Apr-14 00:40 |
zapzap-pyc-6.0.1.8-r0.apk | 125.9 KiB | 2025-Apr-14 00:40 |
sqlmap-1.9.4-r0.apk | 6.8 MiB | 2025-Apr-14 00:06 |
lomiri-download-manager-doc-0.2.1-r0.apk | 3.4 MiB | 2025-Apr-14 00:06 |
sqlmap-pyc-1.9.4-r0.apk | 1.2 MiB | 2025-Apr-14 00:06 |
py3-ecbdata-0.1.1-r0.apk | 13.5 KiB | 2025-Apr-14 00:06 |
lomiri-download-manager-dev-0.2.1-r0.apk | 16.9 KiB | 2025-Apr-14 00:06 |
lomiri-download-manager-0.2.1-r0.apk | 506.3 KiB | 2025-Apr-14 00:06 |
lomiri-download-manager-lang-0.2.1-r0.apk | 29.6 KiB | 2025-Apr-14 00:06 |
cpplint-pyc-2.0.2-r0.apk | 99.5 KiB | 2025-Apr-14 00:06 |
cpplint-2.0.2-r0.apk | 80.3 KiB | 2025-Apr-14 00:06 |
libnfcdef-1.0.1-r0.apk | 10.6 KiB | 2025-Apr-13 22:18 |
libdbusaccess-1.0.20-r0.apk | 13.7 KiB | 2025-Apr-13 22:18 |
libnfcdef-dev-1.0.1-r0.apk | 5.7 KiB | 2025-Apr-13 22:18 |
libdbusaccess-dev-1.0.20-r0.apk | 5.0 KiB | 2025-Apr-13 22:18 |
py3-libpyshell-0.4.1-r0.apk | 11.5 KiB | 2025-Apr-13 22:16 |
py3-libpyshell-pyc-0.4.1-r0.apk | 18.3 KiB | 2025-Apr-13 22:16 |
libresprite-1.2-r0.apk | 14.5 MiB | 2025-Apr-13 22:16 |
libresprite-doc-1.2-r0.apk | 14.7 KiB | 2025-Apr-13 22:16 |
lomiri-content-hub-doc-2.1.0-r0.apk | 1.4 MiB | 2025-Apr-13 22:06 |
lomiri-content-hub-lang-2.1.0-r0.apk | 46.7 KiB | 2025-Apr-13 22:06 |
lomiri-content-hub-dev-2.1.0-r0.apk | 11.2 KiB | 2025-Apr-13 22:06 |
lomiri-content-hub-2.1.0-r0.apk | 252.9 KiB | 2025-Apr-13 22:06 |
sqruff-doc-0.25.26-r0.apk | 8.6 KiB | 2025-Apr-13 20:34 |
sqruff-0.25.26-r0.apk | 1.9 MiB | 2025-Apr-13 20:34 |
perl-catalyst-model-adaptor-0.10-r0.apk | 6.5 KiB | 2025-Apr-13 14:57 |
perl-carp-assert-more-doc-2.9.0-r0.apk | 7.8 KiB | 2025-Apr-13 14:57 |
perl-carp-assert-more-2.9.0-r0.apk | 9.0 KiB | 2025-Apr-13 14:57 |
perl-test-www-mechanize-doc-1.60-r0.apk | 10.1 KiB | 2025-Apr-13 14:57 |
perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12.1 KiB | 2025-Apr-13 14:57 |
perl-test-www-mechanize-1.60-r0.apk | 14.8 KiB | 2025-Apr-13 14:57 |
supersonik-0.1.0-r2.apk | 1.0 MiB | 2025-Apr-13 13:17 |
screen-message-0.29-r0.apk | 9.6 KiB | 2025-Apr-13 11:54 |
screen-message-doc-0.29-r0.apk | 3.6 KiB | 2025-Apr-13 11:54 |
cargo-show-asm-0.2.49-r0.apk | 764.6 KiB | 2025-Apr-13 10:47 |
cargo-show-asm-doc-0.2.49-r0.apk | 10.0 KiB | 2025-Apr-13 10:47 |
nfoview-doc-2.1-r0.apk | 8.0 KiB | 2025-Apr-13 10:41 |
nfoview-2.1-r0.apk | 38.7 KiB | 2025-Apr-13 10:41 |
py3-dash-bootstrap-components-1.6.0-r0.apk | 16.5 KiB | 2025-Apr-13 10:33 |
wl-screenrec-bash-completion-0.1.7-r0.apk | 2.4 KiB | 2025-Apr-13 10:24 |
wl-screenrec-0.1.7-r0.apk | 502.0 KiB | 2025-Apr-13 10:24 |
wl-ime-type-0.1.1-r0.apk | 5.0 KiB | 2025-Apr-13 10:24 |
wl-screenrec-fish-completion-0.1.7-r0.apk | 3.2 KiB | 2025-Apr-13 10:24 |
wl-screenrec-doc-0.1.7-r0.apk | 9.5 KiB | 2025-Apr-13 10:24 |
wl-screenrec-zsh-completion-0.1.7-r0.apk | 3.7 KiB | 2025-Apr-13 10:24 |
wl-ime-type-doc-0.1.1-r0.apk | 2.2 KiB | 2025-Apr-13 10:24 |
perl-catalyst-view-tt-doc-0.46-r0.apk | 12.7 KiB | 2025-Apr-12 15:51 |
perl-template-timer-1.00-r0.apk | 3.4 KiB | 2025-Apr-12 15:51 |
perl-template-timer-doc-1.00-r0.apk | 3.7 KiB | 2025-Apr-12 15:51 |
perl-catalyst-view-tt-0.46-r0.apk | 13.6 KiB | 2025-Apr-12 15:51 |
usbtop-1.0-r0.apk | 12.2 KiB | 2025-Apr-12 12:26 |
font-aref-ruqaa-1.006-r0.apk | 357.3 KiB | 2025-Apr-12 12:25 |
py3-doi-0.2-r0.apk | 6.2 KiB | 2025-Apr-12 12:23 |
py3-slidge-style-parser-pyc-0.1.9-r0.apk | 2.0 KiB | 2025-Apr-12 12:23 |
py3-slidge-style-parser-0.1.9-r0.apk | 193.0 KiB | 2025-Apr-12 12:23 |
py3-doi-pyc-0.2-r0.apk | 4.7 KiB | 2025-Apr-12 12:23 |
emacs-lsp-booster-0.2.1-r0.apk | 366.6 KiB | 2025-Apr-12 12:22 |
emacs-lsp-booster-doc-0.2.1-r0.apk | 2.3 KiB | 2025-Apr-12 12:22 |
solanum-lang-6.0.0-r0.apk | 47.3 KiB | 2025-Apr-11 18:19 |
smile-2.10.1-r0.apk | 720.6 KiB | 2025-Apr-11 18:19 |
smile-lang-2.10.1-r0.apk | 25.1 KiB | 2025-Apr-11 18:19 |
solanum-6.0.0-r0.apk | 233.0 KiB | 2025-Apr-11 18:19 |
py3-unearth-0.17.5-r0.apk | 40.4 KiB | 2025-Apr-11 18:19 |
py3-unearth-pyc-0.17.5-r0.apk | 81.6 KiB | 2025-Apr-11 18:19 |
php82-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-Apr-11 18:19 |
php84-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-Apr-11 18:19 |
php81-pecl-imagick-dev-3.8.0-r0.apk | 2.3 KiB | 2025-Apr-11 18:19 |
pfetch-doc-1.9.0-r0.apk | 5.7 KiB | 2025-Apr-11 18:19 |
py3-hishel-0.1.2-r0.apk | 33.1 KiB | 2025-Apr-11 18:19 |
pfetch-1.9.0-r0.apk | 23.2 KiB | 2025-Apr-11 18:19 |
py3-hishel-pyc-0.1.2-r0.apk | 72.9 KiB | 2025-Apr-11 18:19 |
php81-pecl-imagick-3.8.0-r0.apk | 100.5 KiB | 2025-Apr-11 18:19 |
lomiri-action-api-dev-1.2.0-r0.apk | 5.0 KiB | 2025-Apr-11 18:19 |
libretro-ppsspp-0_git20210516-r15.apk | 2.2 MiB | 2025-Apr-11 18:19 |
lomiri-ui-extras-0.7.0-r0.apk | 231.9 KiB | 2025-Apr-11 18:19 |
lomiri-action-api-1.2.0-r0.apk | 70.0 KiB | 2025-Apr-11 18:19 |
lomiri-ui-extras-lang-0.7.0-r0.apk | 51.6 KiB | 2025-Apr-11 18:19 |
gr-satellites-dev-5.5.0-r5.apk | 12.7 KiB | 2025-Apr-11 18:19 |
hatch-1.14.1-r0.apk | 102.1 KiB | 2025-Apr-11 18:19 |
hatch-pyc-1.14.1-r0.apk | 215.8 KiB | 2025-Apr-11 18:19 |
gr-satellites-5.5.0-r5.apk | 454.5 KiB | 2025-Apr-11 18:19 |
gr-satellites-doc-5.5.0-r5.apk | 4.5 KiB | 2025-Apr-11 18:19 |
decoder-lang-0.7.0-r0.apk | 59.0 KiB | 2025-Apr-11 18:19 |
decoder-0.7.0-r0.apk | 1.8 MiB | 2025-Apr-11 18:19 |
orage-4.20.1-r0.apk | 568.6 KiB | 2025-Apr-08 11:49 |
orage-lang-4.20.1-r0.apk | 1.2 MiB | 2025-Apr-08 11:49 |
ovos-messagebus-pyc-0.0.10-r0.apk | 6.7 KiB | 2025-Apr-08 07:43 |
py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk | 10.0 KiB | 2025-Apr-08 07:43 |
ovos-messagebus-0.0.10-r0.apk | 10.0 KiB | 2025-Apr-08 07:43 |
py3-ovos-phal-plugin-system-1.3.2-r0.apk | 10.9 KiB | 2025-Apr-08 07:43 |
networkmanager-openconnect-lang-1.2.10-r1.apk | 986.2 KiB | 2025-Apr-07 22:00 |
networkmanager-openconnect-1.2.10-r1.apk | 54.1 KiB | 2025-Apr-07 22:00 |
gnome-latex-3.48.0-r0.apk | 343.7 KiB | 2025-Apr-07 21:29 |
gnome-latex-doc-3.48.0-r0.apk | 108.0 KiB | 2025-Apr-07 21:29 |
gnome-latex-lang-3.48.0-r0.apk | 530.3 KiB | 2025-Apr-07 21:29 |
komikku-1.74.0-r0.apk | 400.9 KiB | 2025-Apr-07 18:04 |
komikku-pyc-1.74.0-r0.apk | 758.1 KiB | 2025-Apr-07 18:04 |
komikku-lang-1.74.0-r0.apk | 263.2 KiB | 2025-Apr-07 18:04 |
welle-io-2.7-r0.apk | 383.4 KiB | 2025-Apr-07 18:04 |
welle-io-doc-2.7-r0.apk | 4.0 KiB | 2025-Apr-07 18:04 |
welle-cli-2.7-r0.apk | 278.2 KiB | 2025-Apr-07 18:04 |
gambit-4.9.5-r1.apk | 10.6 MiB | 2025-Apr-07 10:32 |
gambit-dev-4.9.5-r1.apk | 6.6 MiB | 2025-Apr-07 10:32 |
gambit-doc-4.9.5-r1.apk | 4.3 KiB | 2025-Apr-07 10:32 |
wabt-doc-1.0.37-r0.apk | 13.4 KiB | 2025-Apr-06 21:38 |
wabt-1.0.37-r0.apk | 3.6 MiB | 2025-Apr-06 21:38 |
ircd-hybrid-doc-8.2.47-r0.apk | 3.6 KiB | 2025-Apr-05 04:01 |
ircd-hybrid-8.2.47-r0.apk | 309.0 KiB | 2025-Apr-05 04:01 |
perl-object-signature-1.08-r0.apk | 3.7 KiB | 2025-Apr-04 11:56 |
perl-object-signature-doc-1.08-r0.apk | 5.4 KiB | 2025-Apr-04 11:56 |
perl-catalyst-plugin-session-0.43-r0.apk | 14.4 KiB | 2025-Apr-04 11:56 |
perl-catalyst-plugin-session-doc-0.43-r0.apk | 25.1 KiB | 2025-Apr-04 11:56 |
perl-catalyst-view-email-0.36-r0.apk | 9.1 KiB | 2025-Apr-04 09:42 |
perl-email-sender-2.601-r0.apk | 24.7 KiB | 2025-Apr-04 09:42 |
perl-email-sender-doc-2.601-r0.apk | 42.1 KiB | 2025-Apr-04 09:42 |
perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13.3 KiB | 2025-Apr-04 09:42 |
perl-catalyst-plugin-i18n-0.10-r0.apk | 4.1 KiB | 2025-Apr-04 09:42 |
perl-catalyst-view-email-doc-0.36-r0.apk | 10.8 KiB | 2025-Apr-04 09:42 |
perl-catalyst-controller-actionrole-doc-0.17-r0..> | 4.4 KiB | 2025-Apr-04 05:41 |
3proxy-openrc-0.9.4-r2.apk | 1.6 KiB | 2025-Apr-04 05:41 |
3proxy-0.9.4-r2.apk | 294.9 KiB | 2025-Apr-04 05:41 |
perl-catalyst-controller-actionrole-0.17-r0.apk | 5.0 KiB | 2025-Apr-04 05:41 |
3proxy-doc-0.9.4-r2.apk | 24.7 KiB | 2025-Apr-04 05:41 |
perl-catalyst-authentication-credential-http-do..> | 6.8 KiB | 2025-Apr-04 05:39 |
perl-catalyst-authentication-credential-http-1...> | 8.6 KiB | 2025-Apr-04 05:39 |
perl-catalyst-manual-doc-5.9013-r0.apk | 375.1 KiB | 2025-Apr-04 05:22 |
perl-catalyst-manual-5.9013-r0.apk | 2.7 KiB | 2025-Apr-04 05:22 |
identme-0.6.0-r0.apk | 43.4 KiB | 2025-Apr-03 12:33 |
android-translation-layer-dbg-0_git20250402-r0.apk | 813.2 KiB | 2025-Apr-02 17:07 |
android-translation-layer-0_git20250402-r0.apk | 2.7 MiB | 2025-Apr-02 17:07 |
tauri-cli-2.4.0-r0.apk | 7.0 MiB | 2025-Apr-01 21:54 |
perl-catalyst-action-rest-doc-1.21-r0.apk | 27.1 KiB | 2025-Mar-31 12:42 |
perl-catalyst-action-rest-1.21-r0.apk | 25.4 KiB | 2025-Mar-31 12:42 |
py3-hfst-3.16.2-r0.apk | 343.6 KiB | 2025-Mar-29 18:40 |
php81-posix-8.1.32-r1.apk | 10.2 KiB | 2025-Mar-29 18:40 |
php81-mysqlnd-8.1.32-r1.apk | 73.7 KiB | 2025-Mar-29 18:40 |
php81-dom-8.1.32-r1.apk | 56.8 KiB | 2025-Mar-29 18:40 |
php81-tokenizer-8.1.32-r1.apk | 11.4 KiB | 2025-Mar-29 18:40 |
php81-sysvmsg-8.1.32-r1.apk | 7.1 KiB | 2025-Mar-29 18:40 |
php81-pspell-8.1.32-r1.apk | 7.7 KiB | 2025-Mar-29 18:40 |
php81-session-8.1.32-r1.apk | 34.7 KiB | 2025-Mar-29 18:40 |
mapnik-dev-4.0.6-r0.apk | 485.5 KiB | 2025-Mar-29 18:40 |
perl-string-escape-doc-2010.002-r0.apk | 7.8 KiB | 2025-Mar-29 18:40 |
php81-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-ldap-8.1.32-r1.apk | 29.6 KiB | 2025-Mar-29 18:40 |
php81-phar-8.1.32-r1.apk | 117.0 KiB | 2025-Mar-29 18:40 |
php81-gettext-8.1.32-r1.apk | 5.5 KiB | 2025-Mar-29 18:40 |
mapnik-4.0.6-r0.apk | 10.8 MiB | 2025-Mar-29 18:40 |
perl-catalyst-plugin-authentication-doc-0.10024..> | 55.9 KiB | 2025-Mar-29 18:40 |
php81-sockets-8.1.32-r1.apk | 34.1 KiB | 2025-Mar-29 18:40 |
php81-common-8.1.32-r1.apk | 25.1 KiB | 2025-Mar-29 18:40 |
php81-sqlite3-8.1.32-r1.apk | 19.1 KiB | 2025-Mar-29 18:40 |
php81-curl-8.1.32-r1.apk | 35.1 KiB | 2025-Mar-29 18:40 |
php81-pdo_mysql-8.1.32-r1.apk | 12.2 KiB | 2025-Mar-29 18:40 |
php81-dba-8.1.32-r1.apk | 20.2 KiB | 2025-Mar-29 18:40 |
php81-iconv-8.1.32-r1.apk | 16.3 KiB | 2025-Mar-29 18:40 |
php81-shmop-8.1.32-r1.apk | 5.8 KiB | 2025-Mar-29 18:40 |
php81-snmp-8.1.32-r1.apk | 19.2 KiB | 2025-Mar-29 18:40 |
php81-bz2-8.1.32-r1.apk | 9.1 KiB | 2025-Mar-29 18:40 |
php81-gmp-8.1.32-r1.apk | 19.5 KiB | 2025-Mar-29 18:40 |
php81-sodium-8.1.32-r1.apk | 26.2 KiB | 2025-Mar-29 18:40 |
php81-phpdbg-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-pdo_pgsql-8.1.32-r1.apk | 17.7 KiB | 2025-Mar-29 18:40 |
php81-fpm-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-enchant-8.1.32-r1.apk | 8.0 KiB | 2025-Mar-29 18:40 |
php81-calendar-8.1.32-r1.apk | 13.0 KiB | 2025-Mar-29 18:40 |
php81-imap-8.1.32-r1.apk | 31.8 KiB | 2025-Mar-29 18:40 |
php81-ftp-8.1.32-r1.apk | 21.6 KiB | 2025-Mar-29 18:40 |
php81-fileinfo-8.1.32-r1.apk | 375.6 KiB | 2025-Mar-29 18:40 |
php81-ffi-8.1.32-r1.apk | 64.8 KiB | 2025-Mar-29 18:40 |
perl-string-escape-2010.002-r0.apk | 8.9 KiB | 2025-Mar-29 18:40 |
php81-openssl-8.1.32-r1.apk | 68.9 KiB | 2025-Mar-29 18:40 |
php81-tidy-8.1.32-r1.apk | 17.7 KiB | 2025-Mar-29 18:40 |
php81-pear-8.1.32-r1.apk | 337.8 KiB | 2025-Mar-29 18:40 |
php81-ctype-8.1.32-r1.apk | 4.6 KiB | 2025-Mar-29 18:40 |
php81-soap-8.1.32-r1.apk | 127.6 KiB | 2025-Mar-29 18:40 |
php81-exif-8.1.32-r1.apk | 29.9 KiB | 2025-Mar-29 18:40 |
perl-catalyst-plugin-authentication-0.10024-r0.apk | 32.2 KiB | 2025-Mar-29 18:40 |
php81-opcache-8.1.32-r1.apk | 65.9 KiB | 2025-Mar-29 18:40 |
mapnik-doc-4.0.6-r0.apk | 141.6 KiB | 2025-Mar-29 18:40 |
php81-mbstring-8.1.32-r1.apk | 562.2 KiB | 2025-Mar-29 18:40 |
php81-xmlwriter-8.1.32-r1.apk | 11.2 KiB | 2025-Mar-29 18:40 |
php81-pdo_odbc-8.1.32-r1.apk | 11.8 KiB | 2025-Mar-29 18:40 |
php81-xmlreader-8.1.32-r1.apk | 12.4 KiB | 2025-Mar-29 18:40 |
php81-sysvsem-8.1.32-r1.apk | 5.4 KiB | 2025-Mar-29 18:40 |
php81-gd-8.1.32-r1.apk | 116.2 KiB | 2025-Mar-29 18:40 |
php81-bcmath-8.1.32-r1.apk | 15.0 KiB | 2025-Mar-29 18:40 |
php81-pdo-8.1.32-r1.apk | 38.8 KiB | 2025-Mar-29 18:40 |
php81-sysvshm-8.1.32-r1.apk | 6.3 KiB | 2025-Mar-29 18:40 |
php81-zip-8.1.32-r1.apk | 24.5 KiB | 2025-Mar-29 18:40 |
php81-litespeed-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-doc-8.1.32-r1.apk | 67.9 KiB | 2025-Mar-29 18:40 |
php81-cgi-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-pcntl-8.1.32-r1.apk | 12.7 KiB | 2025-Mar-29 18:40 |
php81-apache2-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-dev-8.1.32-r1.apk | 939.0 KiB | 2025-Mar-29 18:40 |
php81-xsl-8.1.32-r1.apk | 12.3 KiB | 2025-Mar-29 18:40 |
php81-odbc-8.1.32-r1.apk | 22.0 KiB | 2025-Mar-29 18:40 |
php81-simplexml-8.1.32-r1.apk | 20.7 KiB | 2025-Mar-29 18:40 |
php81-mysqli-8.1.32-r1.apk | 39.9 KiB | 2025-Mar-29 18:40 |
php81-pdo_dblib-8.1.32-r1.apk | 11.3 KiB | 2025-Mar-29 18:40 |
php81-pgsql-8.1.32-r1.apk | 42.1 KiB | 2025-Mar-29 18:40 |
php81-xml-8.1.32-r1.apk | 17.5 KiB | 2025-Mar-29 18:40 |
php81-intl-8.1.32-r1.apk | 132.9 KiB | 2025-Mar-29 18:40 |
php81-embed-8.1.32-r1.apk | 1.7 MiB | 2025-Mar-29 18:40 |
php81-pdo_sqlite-8.1.32-r1.apk | 11.6 KiB | 2025-Mar-29 18:40 |
hfst-dev-3.16.2-r0.apk | 209.0 KiB | 2025-Mar-29 18:40 |
hfst-libs-3.16.2-r0.apk | 1.7 MiB | 2025-Mar-29 18:40 |
hfst-doc-3.16.2-r0.apk | 69.7 KiB | 2025-Mar-29 18:40 |
hfst-3.16.2-r0.apk | 1.3 MiB | 2025-Mar-29 18:40 |
dum-0.1.20-r1.apk | 309.2 KiB | 2025-Mar-29 18:40 |
dart-sdk-3.7.1-r1.apk | 138.6 MiB | 2025-Mar-29 18:40 |
dartaotruntime-3.7.1-r1.apk | 1.3 MiB | 2025-Mar-29 18:40 |
dart-3.7.1-r1.apk | 56.5 MiB | 2025-Mar-29 18:40 |
brltty-dev-6.7-r1.apk | 140.1 KiB | 2025-Mar-29 18:40 |
brltty-doc-6.7-r1.apk | 9.4 KiB | 2025-Mar-29 18:40 |
brltty-static-6.7-r1.apk | 22.0 KiB | 2025-Mar-29 18:40 |
brltty-6.7-r1.apk | 1.9 MiB | 2025-Mar-29 18:40 |
brltty-lang-6.7-r1.apk | 148.7 KiB | 2025-Mar-29 18:40 |
php81-pecl-timezonedb-2025.2-r0.apk | 190.6 KiB | 2025-Mar-27 11:06 |
php81-pecl-redis-6.2.0-r0.apk | 179.4 KiB | 2025-Mar-27 10:58 |
gpscorrelate-doc-2.3-r0.apk | 285.0 KiB | 2025-Mar-27 06:36 |
gpscorrelate-2.3-r0.apk | 44.8 KiB | 2025-Mar-27 06:36 |
gpscorrelate-cli-2.3-r0.apk | 22.0 KiB | 2025-Mar-27 06:36 |
gpscorrelate-lang-2.3-r0.apk | 17.4 KiB | 2025-Mar-27 06:36 |
sc-controller-pyc-0.5.1-r0.apk | 813.4 KiB | 2025-Mar-26 22:43 |
sc-controller-0.5.1-r0.apk | 1.3 MiB | 2025-Mar-26 22:43 |
cherrytree-lang-1.4.0-r0.apk | 858.6 KiB | 2025-Mar-26 17:40 |
cherrytree-1.4.0-r0.apk | 2.5 MiB | 2025-Mar-26 17:40 |
cherrytree-doc-1.4.0-r0.apk | 2.1 KiB | 2025-Mar-26 17:40 |
taskwarrior-tui-0.26.3-r0.apk | 1.1 MiB | 2025-Mar-26 10:25 |
taskwarrior-tui-doc-0.26.3-r0.apk | 3.9 KiB | 2025-Mar-26 10:25 |
taskwarrior-tui-bash-completion-0.26.3-r0.apk | 2.0 KiB | 2025-Mar-26 10:25 |
taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1.7 KiB | 2025-Mar-26 10:25 |
trigger-rally-doc-0.6.7-r3.apk | 28.1 KiB | 2025-Mar-25 15:39 |
trigger-rally-data-0.6.7-r3.apk | 352.0 MiB | 2025-Mar-25 15:39 |
leptosfmt-0.1.33-r0.apk | 903.9 KiB | 2025-Mar-25 15:39 |
trigger-rally-0.6.7-r3.apk | 277.9 KiB | 2025-Mar-25 15:39 |
leptosfmt-doc-0.1.33-r0.apk | 6.0 KiB | 2025-Mar-25 15:39 |
lgogdownloader-doc-3.16-r1.apk | 8.5 KiB | 2025-Mar-25 15:39 |
lgogdownloader-3.16-r1.apk | 329.5 KiB | 2025-Mar-25 15:39 |
pop-icon-theme-3.5.1-r0.apk | 1.3 MiB | 2025-Mar-25 07:55 |
pop-cursor-theme-3.5.1-r0.apk | 12.8 MiB | 2025-Mar-25 07:55 |
bionic_translation-dbg-0_git20250324-r0.apk | 139.4 KiB | 2025-Mar-24 22:46 |
bionic_translation-0_git20250324-r0.apk | 43.5 KiB | 2025-Mar-24 22:46 |
bionic_translation-dev-0_git20250324-r0.apk | 1.7 KiB | 2025-Mar-24 22:46 |
sdl3_image-doc-3.2.4-r0.apk | 2.1 KiB | 2025-Mar-24 20:21 |
sdl3_image-dev-3.2.4-r0.apk | 12.1 KiB | 2025-Mar-24 20:21 |
sdl3_image-3.2.4-r0.apk | 67.3 KiB | 2025-Mar-24 20:21 |
efl-1.28.1-r2.apk | 33.4 MiB | 2025-Mar-23 15:03 |
efl-gdb-1.28.1-r2.apk | 1.7 KiB | 2025-Mar-23 15:03 |
efl-dev-1.28.1-r2.apk | 1.8 MiB | 2025-Mar-23 15:03 |
cargo-update-doc-16.2.1-r0.apk | 8.3 KiB | 2025-Mar-23 13:24 |
cargo-update-16.2.1-r0.apk | 1004.5 KiB | 2025-Mar-23 13:24 |
tealdeer-bash-completion-1.7.2-r0.apk | 2.0 KiB | 2025-Mar-23 13:19 |
tealdeer-zsh-completion-1.7.2-r0.apk | 2.3 KiB | 2025-Mar-23 13:19 |
tealdeer-fish-completion-1.7.2-r0.apk | 2.2 KiB | 2025-Mar-23 13:19 |
tealdeer-1.7.2-r0.apk | 801.3 KiB | 2025-Mar-23 13:19 |
perl-uri-find-doc-20160806-r0.apk | 9.1 KiB | 2025-Mar-23 07:58 |
perl-uri-find-20160806-r0.apk | 13.6 KiB | 2025-Mar-23 07:58 |
haxe-4.3.3-r1.apk | 9.8 MiB | 2025-Mar-22 14:33 |
haxe-doc-4.3.3-r1.apk | 7.7 KiB | 2025-Mar-22 14:33 |
cocogitto-bash-completion-6.3.0-r0.apk | 3.0 KiB | 2025-Mar-21 19:28 |
cocogitto-zsh-completion-6.3.0-r0.apk | 3.0 KiB | 2025-Mar-21 19:28 |
cocogitto-fish-completion-6.3.0-r0.apk | 3.3 KiB | 2025-Mar-21 19:28 |
cocogitto-6.3.0-r0.apk | 1.7 MiB | 2025-Mar-21 19:28 |
cocogitto-doc-6.3.0-r0.apk | 37.8 KiB | 2025-Mar-21 19:28 |
lavacli-pyc-2.2.0-r0.apk | 84.1 KiB | 2025-Mar-21 18:43 |
lavacli-2.2.0-r0.apk | 47.6 KiB | 2025-Mar-21 18:43 |
lavacli-doc-2.2.0-r0.apk | 33.6 KiB | 2025-Mar-21 18:43 |
tanidvr-1.4.1-r2.apk | 20.5 KiB | 2025-Mar-21 11:52 |
tanidvr-dhav2mkv-1.4.1-r2.apk | 11.4 KiB | 2025-Mar-21 11:52 |
perl-sys-virt-doc-11.1.0-r0.apk | 98.8 KiB | 2025-Mar-21 11:43 |
perl-sys-virt-11.1.0-r0.apk | 186.4 KiB | 2025-Mar-21 11:43 |
perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10.3 KiB | 2025-Mar-20 16:04 |
perl-catalyst-devel-doc-1.42-r0.apk | 11.4 KiB | 2025-Mar-20 16:04 |
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7.4 KiB | 2025-Mar-20 16:04 |
perl-file-changenotify-0.31-r0.apk | 12.1 KiB | 2025-Mar-20 16:04 |
perl-catalyst-plugin-configloader-0.35-r0.apk | 5.4 KiB | 2025-Mar-20 16:04 |
perl-file-changenotify-doc-0.31-r0.apk | 14.0 KiB | 2025-Mar-20 16:04 |
perl-catalyst-plugin-static-simple-0.37-r0.apk | 8.7 KiB | 2025-Mar-20 16:04 |
perl-catalyst-devel-1.42-r0.apk | 54.1 KiB | 2025-Mar-20 16:04 |
hpnssh-doc-18.6.2-r0.apk | 99.2 KiB | 2025-Mar-20 11:54 |
hpnssh-18.6.2-r0.apk | 2.2 MiB | 2025-Mar-20 11:54 |
sonarr-openrc-4.0.14.2939-r0.apk | 2.0 KiB | 2025-Mar-20 06:17 |
sonarr-4.0.14.2939-r0.apk | 26.9 MiB | 2025-Mar-20 06:17 |
php81-pecl-couchbase-4.2.7-r0.apk | 3.9 MiB | 2025-Mar-20 01:31 |
vile-doc-9.8z_p1-r0.apk | 357.1 KiB | 2025-Mar-20 01:31 |
xvile-9.8z_p1-r0.apk | 731.5 KiB | 2025-Mar-20 01:31 |
repo-2.53-r0.apk | 16.8 KiB | 2025-Mar-20 01:31 |
repo-doc-2.53-r0.apk | 38.5 KiB | 2025-Mar-20 01:31 |
vile-common-9.8z_p1-r0.apk | 353.2 KiB | 2025-Mar-20 01:31 |
vile-9.8z_p1-r0.apk | 708.4 KiB | 2025-Mar-20 01:31 |
perl-data-visitor-0.32-r0.apk | 9.8 KiB | 2025-Mar-19 14:53 |
perl-catalyst-action-renderview-doc-0.17-r0.apk | 4.0 KiB | 2025-Mar-19 14:53 |
perl-data-visitor-doc-0.32-r0.apk | 8.3 KiB | 2025-Mar-19 14:53 |
perl-catalyst-action-renderview-0.17-r0.apk | 3.8 KiB | 2025-Mar-19 14:53 |
lychee-doc-0.18.1-r0.apk | 12.4 KiB | 2025-Mar-19 13:00 |
rygel-lang-0.44.2-r0.apk | 567.2 KiB | 2025-Mar-19 13:00 |
rygel-dev-0.44.2-r0.apk | 42.6 KiB | 2025-Mar-19 13:00 |
lychee-0.18.1-r0.apk | 2.5 MiB | 2025-Mar-19 13:00 |
rygel-doc-0.44.2-r0.apk | 9.6 KiB | 2025-Mar-19 13:00 |
rygel-0.44.2-r0.apk | 695.1 KiB | 2025-Mar-19 13:00 |
gnome-user-share-lang-48.0-r0.apk | 66.8 KiB | 2025-Mar-19 13:00 |
gnome-user-share-48.0-r0.apk | 261.2 KiB | 2025-Mar-19 13:00 |
redhat-fonts-4.1.0-r0.apk | 809.0 KiB | 2025-Mar-19 11:44 |
py3-mistletoe-1.4.0-r0.apk | 44.6 KiB | 2025-Mar-19 11:44 |
py3-jupyterlab3-3.6.7-r0.apk | 14.0 MiB | 2025-Mar-19 11:44 |
py3-mistletoe-pyc-1.4.0-r0.apk | 93.0 KiB | 2025-Mar-19 11:44 |
py3-agithub-pyc-2.2.2-r7.apk | 21.3 KiB | 2025-Mar-19 11:44 |
py3-jupyterlab_server-2.27.3-r0.apk | 123.7 KiB | 2025-Mar-19 11:44 |
py3-async-lru-2.0.5-r0.apk | 7.0 KiB | 2025-Mar-19 11:44 |
py3-agithub-2.2.2-r7.apk | 18.8 KiB | 2025-Mar-19 11:44 |
py3-async-lru-pyc-2.0.5-r0.apk | 8.5 KiB | 2025-Mar-19 11:44 |
perl-mojolicious-plugin-openapi-5.11-r0.apk | 28.4 KiB | 2025-Mar-19 11:44 |
perl-tie-toobject-doc-0.03-r0.apk | 3.2 KiB | 2025-Mar-19 11:44 |
perl-tree-simple-visitorfactory-0.16-r0.apk | 19.9 KiB | 2025-Mar-19 11:44 |
perl-tie-toobject-0.03-r0.apk | 2.6 KiB | 2025-Mar-19 11:44 |
perl-catalyst-runtime-doc-5.90132-r0.apk | 216.3 KiB | 2025-Mar-19 11:44 |
perl-color-rgb-util-0.609-r0.apk | 9.5 KiB | 2025-Mar-19 11:44 |
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33.5 KiB | 2025-Mar-19 11:44 |
perl-moosex-methodattributes-doc-0.32-r0.apk | 22.1 KiB | 2025-Mar-19 11:44 |
perl-plack-middleware-methodoverride-0.20-r0.apk | 3.6 KiB | 2025-Mar-19 11:44 |
perl-plack-test-externalserver-0.02-r0.apk | 2.8 KiB | 2025-Mar-19 11:44 |
perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 46.2 KiB | 2025-Mar-19 11:44 |
perl-plack-middleware-methodoverride-doc-0.20-r..> | 4.0 KiB | 2025-Mar-19 11:44 |
perl-uri-ws-0.03-r0.apk | 2.3 KiB | 2025-Mar-19 11:44 |
perl-uri-ws-doc-0.03-r0.apk | 4.3 KiB | 2025-Mar-19 11:44 |
perl-text-simpletable-2.07-r0.apk | 4.5 KiB | 2025-Mar-19 11:44 |
perl-json-validator-doc-5.15-r0.apk | 33.8 KiB | 2025-Mar-19 11:44 |
perl-text-simpletable-doc-2.07-r0.apk | 3.5 KiB | 2025-Mar-19 11:44 |
perl-catalyst-runtime-5.90132-r0.apk | 149.7 KiB | 2025-Mar-19 11:44 |
perl-plack-test-externalserver-doc-0.02-r0.apk | 3.1 KiB | 2025-Mar-19 11:44 |
perl-color-rgb-util-doc-0.609-r0.apk | 7.4 KiB | 2025-Mar-19 11:44 |
perl-json-validator-5.15-r0.apk | 58.1 KiB | 2025-Mar-19 11:44 |
perl-moosex-methodattributes-0.32-r0.apk | 8.6 KiB | 2025-Mar-19 11:44 |
ddcci-driver-linux-src-0.4.5-r2.apk | 19.1 KiB | 2025-Mar-19 11:43 |
ckb-next-0.6.2-r0.apk | 1.3 MiB | 2025-Mar-19 11:43 |
ckb-next-daemon-openrc-0.6.2-r0.apk | 1.8 KiB | 2025-Mar-19 11:43 |
ckb-next-daemon-0.6.2-r0.apk | 68.9 KiB | 2025-Mar-19 11:43 |
ckb-next-dev-0.6.2-r0.apk | 4.9 KiB | 2025-Mar-19 11:43 |
ampy-1.1.0-r6.apk | 15.4 KiB | 2025-Mar-19 11:43 |
ampy-doc-1.1.0-r6.apk | 4.1 KiB | 2025-Mar-19 11:43 |
ampy-pyc-1.1.0-r6.apk | 19.4 KiB | 2025-Mar-19 11:43 |
planarity-4.0.0.0-r0.apk | 21.3 KiB | 2025-Mar-16 10:06 |
planarity-dev-4.0.0.0-r0.apk | 23.0 KiB | 2025-Mar-16 10:06 |
planarity-doc-4.0.0.0-r0.apk | 12.6 KiB | 2025-Mar-16 10:06 |
planarity-libs-4.0.0.0-r0.apk | 57.2 KiB | 2025-Mar-16 10:06 |
perl-moosex-simpleconfig-0.11-r0.apk | 3.8 KiB | 2025-Mar-16 04:03 |
perl-moosex-emulate-class-accessor-fast-0.00903..> | 5.4 KiB | 2025-Mar-16 04:03 |
perl-moosex-simpleconfig-doc-0.11-r0.apk | 4.2 KiB | 2025-Mar-16 04:03 |
perl-moosex-configfromfile-0.14-r0.apk | 4.1 KiB | 2025-Mar-16 04:03 |
perl-moosex-emulate-class-accessor-fast-doc-0.0..> | 5.3 KiB | 2025-Mar-16 04:03 |
perl-moosex-getopt-0.78-r0.apk | 13.6 KiB | 2025-Mar-16 04:03 |
perl-moosex-configfromfile-doc-0.14-r0.apk | 4.2 KiB | 2025-Mar-16 04:03 |
perl-moosex-getopt-doc-0.78-r0.apk | 24.7 KiB | 2025-Mar-16 04:03 |
turn-rs-doc-3.4.0-r0.apk | 10.8 KiB | 2025-Mar-16 03:36 |
turn-rs-openrc-3.4.0-r0.apk | 1.9 KiB | 2025-Mar-16 03:36 |
turn-rs-3.4.0-r0.apk | 515.9 KiB | 2025-Mar-16 03:36 |
exabgp-pyc-4.2.24-r0.apk | 778.2 KiB | 2025-Mar-15 22:11 |
exabgp-doc-4.2.24-r0.apk | 8.0 KiB | 2025-Mar-15 22:11 |
exabgp-4.2.24-r0.apk | 384.6 KiB | 2025-Mar-15 22:11 |
exabgp-openrc-4.2.24-r0.apk | 2.2 KiB | 2025-Mar-15 22:11 |
perl-moosex-types-stringlike-doc-0.003-r0.apk | 3.6 KiB | 2025-Mar-15 14:08 |
perl-moosex-types-path-tiny-0.012-r0.apk | 4.0 KiB | 2025-Mar-15 14:08 |
perl-moosex-role-parameterized-doc-1.11-r0.apk | 23.5 KiB | 2025-Mar-15 14:08 |
perl-moosex-types-path-tiny-doc-0.012-r0.apk | 4.1 KiB | 2025-Mar-15 14:08 |
perl-moosex-role-parameterized-1.11-r0.apk | 7.7 KiB | 2025-Mar-15 14:08 |
perl-moosex-types-stringlike-0.003-r0.apk | 3.0 KiB | 2025-Mar-15 14:08 |
zlevis-1.3-r0.apk | 5.2 KiB | 2025-Mar-14 17:31 |
perl-cgi-struct-1.21-r0.apk | 7.8 KiB | 2025-Mar-14 16:31 |
perl-cgi-struct-doc-1.21-r0.apk | 6.8 KiB | 2025-Mar-14 16:31 |
perl-class-c3-adopt-next-doc-0.14-r0.apk | 4.7 KiB | 2025-Mar-14 16:31 |
perl-class-c3-adopt-next-0.14-r0.apk | 5.1 KiB | 2025-Mar-14 16:31 |
i3bar-river-1.1.0-r0.apk | 463.0 KiB | 2025-Mar-14 14:05 |
gsettings-qt-1.0.0-r0.apk | 24.9 KiB | 2025-Mar-14 10:59 |
gsettings-qt-dev-1.0.0-r0.apk | 3.5 KiB | 2025-Mar-14 10:59 |
perl-git-raw-doc-0.90-r3.apk | 117.3 KiB | 2025-Mar-13 23:14 |
perl-git-raw-0.90-r3.apk | 153.5 KiB | 2025-Mar-13 23:14 |
yoshimi-2.3.3.3-r0.apk | 5.7 MiB | 2025-Mar-13 22:33 |
yoshimi-doc-2.3.3.3-r0.apk | 4.5 MiB | 2025-Mar-13 22:33 |
simp1e-cursors-tokyo-night-storm-left-0_git2025..> | 545.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-tokyo-night-light-left-0_git2025..> | 526.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-solarized-light-0_git20250312-r0..> | 531.1 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 540.2 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-tokyo-night-storm-0_git20250312-..> | 533.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-tokyo-night-light-0_git20250312-..> | 519.8 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-solarized-dark-left-0_git2025031..> | 535.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-tokyo-night-left-0_git20250312-r..> | 541.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-solarized-light-left-0_git202503..> | 542.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-zenburn-0_git20250312-r0.apk | 529.3 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 529.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> | 550.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-mocha-left-0_git20250..> | 539.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-macchiato-left-0_git2..> | 544.4 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-nord-light-0_git20250312-r0.apk | 517.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> | 529.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-breeze-dark-left-0_git20250312-r..> | 526.3 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 545.1 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-latte-0_git20250312-r..> | 527.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-dark-0_git20250312-r0.apk | 483.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 523.7 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-rose-pine-moon-left-0_git2025031..> | 550.2 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-doc-0_git20250312-r0.apk | 13.8 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-mix-dark-0_git20250312-r0.apk | 486.7 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-breeze-left-0_git20250312-r0.apk | 509.3 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 548.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 537.9 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 451.4 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 520.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-nord-dark-0_git20250312-r0.apk | 535.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-rose-pine-0_git20250312-r0.apk | 533.5 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-left-0_git20250312-r0.apk | 448.5 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 492.2 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 538.4 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 525.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-macchiato-0_git202503..> | 532.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-dark-left-0_git20250312-r0.apk | 489.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-mix-light-0_git20250312-r0.apk | 449.5 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-gruvbox-light-left-0_git20250312..> | 526.6 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-frappe-left-0_git2025..> | 547.5 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-latte-left-0_git20250..> | 537.3 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> | 537.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-adw-left-0_git20250312-r0.apk | 483.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 485.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-breeze-0_git20250312-r0.apk | 504.2 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-nord-light-left-0_git20250312-r0..> | 524.7 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 517.1 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-adw-dark-0_git20250312-r0.apk | 482.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-catppuccin-frappe-0_git20250312-..> | 535.0 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-0_git20250312-r0.apk | 446.7 KiB | 2025-Mar-13 12:27 |
simp1e-cursors-adw-0_git20250312-r0.apk | 477.8 KiB | 2025-Mar-13 12:27 |
yaru-icon-theme-sage-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-icon-theme-magenta-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-shell-23.10.0-r2.apk | 735.4 KiB | 2025-Mar-13 06:04 |
yaru-theme-purple-23.10.0-r2.apk | 756.4 KiB | 2025-Mar-13 06:04 |
yaru-theme-olive-23.10.0-r2.apk | 759.1 KiB | 2025-Mar-13 06:04 |
yaru-theme-hdpi-23.10.0-r2.apk | 73.2 KiB | 2025-Mar-13 06:04 |
yaru-icon-theme-23.10.0-r2.apk | 34.7 MiB | 2025-Mar-13 06:04 |
yaru-sounds-23.10.0-r2.apk | 675.6 KiB | 2025-Mar-13 06:04 |
yaru-theme-viridian-23.10.0-r2.apk | 759.6 KiB | 2025-Mar-13 06:04 |
yaru-icon-theme-olive-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-theme-sage-23.10.0-r2.apk | 762.4 KiB | 2025-Mar-13 06:04 |
yaru-theme-red-23.10.0-r2.apk | 760.4 KiB | 2025-Mar-13 06:04 |
yaru-icon-theme-purple-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-icon-theme-prussiangreen-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-icon-theme-mate-23.10.0-r2.apk | 1.2 MiB | 2025-Mar-13 06:04 |
yaru-icon-theme-bark-23.10.0-r2.apk | 1.0 MiB | 2025-Mar-13 06:04 |
yaru-theme-magenta-23.10.0-r2.apk | 761.3 KiB | 2025-Mar-13 06:04 |
yaru-theme-bark-23.10.0-r2.apk | 762.9 KiB | 2025-Mar-13 06:04 |
yaru-icon-theme-viridian-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-icon-theme-red-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-icon-theme-blue-23.10.0-r2.apk | 1.1 MiB | 2025-Mar-13 06:04 |
yaru-theme-23.10.0-r2.apk | 839.5 KiB | 2025-Mar-13 06:04 |
yaru-theme-mate-23.10.0-r2.apk | 727.3 KiB | 2025-Mar-13 06:04 |
yaru-theme-blue-23.10.0-r2.apk | 764.5 KiB | 2025-Mar-13 06:04 |
yaru-theme-prussiangreen-23.10.0-r2.apk | 759.7 KiB | 2025-Mar-13 06:04 |
yaru-schemas-23.10.0-r2.apk | 1.8 KiB | 2025-Mar-13 06:04 |
lomiri-sounds-22.02-r1.apk | 18.0 MiB | 2025-Mar-13 06:04 |
mediascanner2-0.115-r3.apk | 228.2 KiB | 2025-Mar-13 06:04 |
yaru-common-23.10.0-r2.apk | 4.5 KiB | 2025-Mar-13 06:04 |
lomiri-gallery-app-lang-3.0.2-r1.apk | 105.8 KiB | 2025-Mar-13 06:04 |
lomiri-docviewer-app-3.0.4-r2.apk | 206.2 KiB | 2025-Mar-13 06:04 |
lomiri-calculator-app-4.0.2-r1.apk | 373.9 KiB | 2025-Mar-13 06:04 |
lomiri-gallery-app-3.0.2-r1.apk | 3.7 MiB | 2025-Mar-13 06:04 |
lomiri-calculator-app-lang-4.0.2-r1.apk | 33.8 KiB | 2025-Mar-13 06:04 |
lomiri-docviewer-app-doc-3.0.4-r2.apk | 2.0 KiB | 2025-Mar-13 06:04 |
lomiri-filemanager-app-1.0.4-r1.apk | 305.2 KiB | 2025-Mar-13 06:04 |
lomiri-docviewer-app-lang-3.0.4-r2.apk | 115.9 KiB | 2025-Mar-13 06:04 |
lomiri-filemanager-app-lang-1.0.4-r1.apk | 170.7 KiB | 2025-Mar-13 06:04 |
perl-cgi-simple-1.281-r0.apk | 55.5 KiB | 2025-Mar-12 14:13 |
perl-cgi-simple-doc-1.281-r0.apk | 42.5 KiB | 2025-Mar-12 14:13 |
zvbi-doc-0.2.44-r0.apk | 21.0 KiB | 2025-Mar-11 20:40 |
zvbi-0.2.44-r0.apk | 165.7 KiB | 2025-Mar-11 20:40 |
libzvbi-0.2.44-r0.apk | 203.2 KiB | 2025-Mar-11 20:40 |
libzvbi-static-0.2.44-r0.apk | 255.6 KiB | 2025-Mar-11 20:40 |
libzvbi-dev-0.2.44-r0.apk | 14.3 KiB | 2025-Mar-11 20:40 |
jotdown-0.7.0-r0.apk | 198.6 KiB | 2025-Mar-11 20:12 |
lomiri-weather-app-lang-6.0.2-r0.apk | 214.0 KiB | 2025-Mar-11 12:02 |
lomiri-weather-app-6.0.2-r0.apk | 295.1 KiB | 2025-Mar-11 12:02 |
tree-sitter-git-rebase-0_git20240722-r0.apk | 4.9 KiB | 2025-Mar-10 22:54 |
tree-sitter-dart-0_git20250228-r0.apk | 99.9 KiB | 2025-Mar-10 22:54 |
ruff-lsp-0.0.62-r0.apk | 21.3 KiB | 2025-Mar-10 20:53 |
ruff-lsp-pyc-0.0.62-r0.apk | 35.0 KiB | 2025-Mar-10 20:53 |
py3-jaraco.vcs-2.4.1-r0.apk | 10.2 KiB | 2025-Mar-10 20:53 |
py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16.0 KiB | 2025-Mar-10 20:53 |
cvise-pyc-2.11.0-r0.apk | 59.7 KiB | 2025-Mar-10 20:53 |
mediastreamer2-plugin-openh264-5.2.0_git2023102..> | 10.5 KiB | 2025-Mar-10 20:53 |
cvise-2.11.0-r0.apk | 4.6 MiB | 2025-Mar-10 20:53 |
php81-pecl-protobuf-4.30.0-r0.apk | 126.6 KiB | 2025-Mar-05 18:38 |
simgear-2024.1.1-r0.apk | 2.0 MiB | 2025-Mar-05 00:14 |
simgear-dev-2024.1.1-r0.apk | 404.1 KiB | 2025-Mar-05 00:14 |
vim-nerdtree-7.1.3-r0.apk | 66.6 KiB | 2025-Mar-04 21:00 |
stockfish-17-r0.apk | 61.8 MiB | 2025-Mar-03 22:42 |
wiringx-0_git20240317-r2.apk | 47.5 KiB | 2025-Mar-03 16:22 |
libuecc-dev-7-r4.apk | 4.6 KiB | 2025-Mar-03 16:22 |
libuecc-7-r4.apk | 8.3 KiB | 2025-Mar-03 16:22 |
wiringx-dev-0_git20240317-r2.apk | 65.5 KiB | 2025-Mar-03 16:22 |
xwayland-satellite-0.5.1-r0.apk | 748.3 KiB | 2025-Mar-03 00:14 |
yices2-dev-2.6.5-r0.apk | 41.4 KiB | 2025-Mar-03 00:14 |
yices2-libs-2.6.5-r0.apk | 674.6 KiB | 2025-Mar-03 00:14 |
yices2-2.6.5-r0.apk | 1.8 MiB | 2025-Mar-03 00:14 |
kodi-pvr-iptvsimple-21.10.2-r0.apk | 902.6 KiB | 2025-Mar-02 16:17 |
kodi-inputstream-adaptive-21.5.9-r0.apk | 988.7 KiB | 2025-Mar-02 16:17 |
kodi-vfs-libarchive-21.0.2-r0.apk | 103.6 KiB | 2025-Mar-02 16:17 |
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk | 330.7 KiB | 2025-Mar-02 16:17 |
kodi-pvr-hts-21.2.6-r0.apk | 277.2 KiB | 2025-Mar-02 16:17 |
kodi-inputstream-rtmp-21.1.2-r0.apk | 84.2 KiB | 2025-Mar-02 16:17 |
cargo-crev-0.26.3-r0.apk | 5.5 MiB | 2025-Mar-02 16:14 |
mimedefang-3.6-r0.apk | 152.9 KiB | 2025-Mar-02 12:17 |
mimedefang-doc-3.6-r0.apk | 80.4 KiB | 2025-Mar-02 12:17 |
gdb-dashboard-doc-0.17.4-r0.apk | 3.0 KiB | 2025-Mar-01 21:53 |
gdb-dashboard-0.17.4-r0.apk | 22.7 KiB | 2025-Mar-01 21:53 |
lomiri-trust-store-lang-2.0.2-r8.apk | 27.6 KiB | 2025-Feb-27 21:26 |
lomiri-trust-store-dev-2.0.2-r8.apk | 9.1 KiB | 2025-Feb-27 21:26 |
lomiri-trust-store-2.0.2-r8.apk | 856.2 KiB | 2025-Feb-27 21:26 |
wf-shell-doc-0.9.0-r0.apk | 3.1 KiB | 2025-Feb-27 20:17 |
wf-config-0.9.0-r0.apk | 97.5 KiB | 2025-Feb-27 20:17 |
wayfire-plugins-extra-0.9.0-r0.apk | 501.6 KiB | 2025-Feb-27 20:17 |
wcm-0.9.0-r0.apk | 348.1 KiB | 2025-Feb-27 20:17 |
wayfire-0.9.0-r0.apk | 2.2 MiB | 2025-Feb-27 20:17 |
wayfire-doc-0.9.0-r0.apk | 3.6 KiB | 2025-Feb-27 20:17 |
wf-shell-0.9.0-r0.apk | 6.0 MiB | 2025-Feb-27 20:17 |
wf-shell-dev-0.9.0-r0.apk | 1.6 KiB | 2025-Feb-27 20:17 |
wayfire-dev-0.9.0-r0.apk | 129.2 KiB | 2025-Feb-27 20:17 |
wf-config-dev-0.9.0-r0.apk | 16.3 KiB | 2025-Feb-27 20:17 |
tree-sitter-git-commit-0_git20211225-r3.apk | 12.9 KiB | 2025-Feb-26 17:29 |
spampd-2.62-r0.apk | 38.5 KiB | 2025-Feb-25 22:24 |
spampd-openrc-2.62-r0.apk | 2.0 KiB | 2025-Feb-25 22:24 |
libsimplebluez-0.8.1-r0.apk | 110.6 KiB | 2025-Feb-25 22:20 |
libsimpleble-0.8.1-r0.apk | 156.4 KiB | 2025-Feb-25 22:20 |
libsimpleble-c-0.8.1-r0.apk | 13.5 KiB | 2025-Feb-25 22:20 |
simpleble-0.8.1-r0.apk | 1.2 KiB | 2025-Feb-25 22:20 |
simpleble-dev-0.8.1-r0.apk | 23.7 KiB | 2025-Feb-25 22:20 |
php81-pecl-csv-0.4.3-r0.apk | 9.2 KiB | 2025-Feb-25 15:45 |
php84-pecl-csv-0.4.3-r0.apk | 9.3 KiB | 2025-Feb-25 15:45 |
belr-dev-5.3.105-r0.apk | 14.5 KiB | 2025-Feb-25 12:52 |
belr-5.3.105-r0.apk | 98.0 KiB | 2025-Feb-25 12:52 |
belle-sip-5.3.105-r0.apk | 575.6 KiB | 2025-Feb-25 12:52 |
belle-sip-dev-5.3.105-r0.apk | 53.9 KiB | 2025-Feb-25 12:52 |
belcard-libs-5.3.105-r0.apk | 188.3 KiB | 2025-Feb-25 12:48 |
belcard-5.3.105-r0.apk | 12.2 KiB | 2025-Feb-25 12:48 |
belcard-dev-5.3.105-r0.apk | 11.5 KiB | 2025-Feb-25 12:48 |
xa-doc-2.4.1-r0.apk | 17.2 KiB | 2025-Feb-25 12:36 |
xa-2.4.1-r0.apk | 63.5 KiB | 2025-Feb-25 12:36 |
libre-3.20.0-r0.apk | 235.1 KiB | 2025-Feb-25 12:18 |
libre-dev-3.20.0-r0.apk | 403.2 KiB | 2025-Feb-25 12:18 |
barman-bash-completion-3.13.0-r0.apk | 1.6 KiB | 2025-Feb-25 06:34 |
fileshelter-6.2.0-r3.apk | 285.7 KiB | 2025-Feb-25 06:34 |
barman-3.13.0-r0.apk | 345.4 KiB | 2025-Feb-25 06:34 |
fileshelter-openrc-6.2.0-r3.apk | 1.6 KiB | 2025-Feb-25 06:34 |
barman-doc-3.13.0-r0.apk | 80.2 KiB | 2025-Feb-25 06:34 |
barman-pyc-3.13.0-r0.apk | 550.3 KiB | 2025-Feb-25 06:34 |
py3-apsw-pyc-3.49.1.0-r0.apk | 526.3 KiB | 2025-Feb-25 06:29 |
py3-apsw-3.49.1.0-r0.apk | 817.0 KiB | 2025-Feb-25 06:29 |
vice-doc-3.9-r0.apk | 2.2 MiB | 2025-Feb-24 22:28 |
ecasound-doc-2.9.3-r4.apk | 38.5 KiB | 2025-Feb-24 22:28 |
ecasound-2.9.3-r4.apk | 616.4 KiB | 2025-Feb-24 22:28 |
vice-3.9-r0.apk | 11.7 MiB | 2025-Feb-24 22:28 |
shntool-3.0.10-r5.apk | 54.4 KiB | 2025-Feb-24 22:28 |
shntool-doc-3.0.10-r5.apk | 10.3 KiB | 2025-Feb-24 22:28 |
ecasound-dev-2.9.3-r4.apk | 1.1 MiB | 2025-Feb-24 22:28 |
porla-0.41.0-r2.apk | 3.1 MiB | 2025-Feb-24 14:53 |
porla-doc-0.41.0-r2.apk | 2.2 KiB | 2025-Feb-24 14:53 |
porla-openrc-0.41.0-r2.apk | 2.7 KiB | 2025-Feb-24 14:53 |
recyclarr-7.4.1-r0.apk | 2.6 MiB | 2025-Feb-24 11:55 |
recyclarr-doc-7.4.1-r0.apk | 2.2 KiB | 2025-Feb-24 11:55 |
waycheck-1.6.0-r0.apk | 39.6 KiB | 2025-Feb-23 22:59 |
dart-stage0-3.7.0_alpha232_p0-r0.apk | 194.6 MiB | 2025-Feb-23 22:59 |
rdrview-0.1.3-r0.apk | 24.0 KiB | 2025-Feb-22 19:22 |
rdrview-doc-0.1.3-r0.apk | 3.7 KiB | 2025-Feb-22 19:22 |
ueberzug-18.3.1-r0.apk | 63.8 KiB | 2025-Feb-22 12:34 |
kirc-0.3.3-r0.apk | 14.3 KiB | 2025-Feb-22 12:34 |
azpainter-3.0.11-r0.apk | 652.6 KiB | 2025-Feb-22 12:34 |
kirc-doc-0.3.3-r0.apk | 2.8 KiB | 2025-Feb-22 12:34 |
ueberzug-pyc-18.3.1-r0.apk | 63.8 KiB | 2025-Feb-22 12:34 |
azpainter-doc-3.0.11-r0.apk | 41.9 KiB | 2025-Feb-22 12:34 |
w_scan2-doc-1.0.16-r0.apk | 4.1 KiB | 2025-Feb-22 12:19 |
w_scan2-1.0.16-r0.apk | 133.7 KiB | 2025-Feb-22 12:19 |
solarus-engine-1.7.0-r1.apk | 1.8 MiB | 2025-Feb-22 12:19 |
solarus-engine-doc-1.7.0-r1.apk | 3.3 KiB | 2025-Feb-22 12:19 |
sauerbraten-2020.12.29-r4.apk | 933.6 MiB | 2025-Feb-22 12:19 |
py3-sphinx-autoapi-pyc-3.6.0-r0.apk | 58.8 KiB | 2025-Feb-22 12:17 |
py3-pymsteams-0.2.5-r0.apk | 12.4 KiB | 2025-Feb-22 12:17 |
repowerd-openrc-2023.07-r3.apk | 1.7 KiB | 2025-Feb-22 12:17 |
py3-pytest-regtest-pyc-2.3.2-r1.apk | 30.0 KiB | 2025-Feb-22 12:17 |
py3-telegram-bot-pyc-21.10-r0.apk | 723.7 KiB | 2025-Feb-22 12:17 |
py3-marshmallow-3.26.1-r0.apk | 47.5 KiB | 2025-Feb-22 12:17 |
py3-sphinx-autoapi-3.6.0-r0.apk | 31.2 KiB | 2025-Feb-22 12:17 |
py3-lsp-mypy-pyc-0.7.0-r0.apk | 12.7 KiB | 2025-Feb-22 12:17 |
py3-marshmallow-pyc-3.26.1-r0.apk | 84.9 KiB | 2025-Feb-22 12:17 |
py3-pymsteams-pyc-0.2.5-r0.apk | 6.5 KiB | 2025-Feb-22 12:17 |
repowerd-2023.07-r3.apk | 790.2 KiB | 2025-Feb-22 12:17 |
py3-pytest-regtest-2.3.2-r1.apk | 15.8 KiB | 2025-Feb-22 12:17 |
py3-lsp-mypy-0.7.0-r0.apk | 12.6 KiB | 2025-Feb-22 12:17 |
py3-telegram-bot-21.10-r0.apk | 454.3 KiB | 2025-Feb-22 12:17 |
openvpn3-3.8.5-r1.apk | 350.5 KiB | 2025-Feb-22 12:17 |
pinentry-bemenu-0.14.0-r0.apk | 7.8 KiB | 2025-Feb-22 12:17 |
pcl-1.14.1-r0.apk | 403.4 KiB | 2025-Feb-22 12:17 |
pcl-libs-1.14.1-r0.apk | 1.2 MiB | 2025-Feb-22 12:17 |
persistent-cache-cpp-dev-1.0.7-r4.apk | 17.8 KiB | 2025-Feb-22 12:17 |
persistent-cache-cpp-1.0.7-r4.apk | 42.6 KiB | 2025-Feb-22 12:17 |
persistent-cache-cpp-doc-1.0.7-r4.apk | 3.1 KiB | 2025-Feb-22 12:17 |
openvpn3-dev-3.8.5-r1.apk | 667.0 KiB | 2025-Feb-22 12:17 |
pcl-dev-1.14.1-r0.apk | 386.9 KiB | 2025-Feb-22 12:17 |
laze-0.1.31-r0.apk | 942.7 KiB | 2025-Feb-22 12:17 |
lomiri-libusermetrics-dev-1.3.3-r1.apk | 7.8 KiB | 2025-Feb-22 12:17 |
lomiri-api-dev-0.2.2-r1.apk | 32.1 KiB | 2025-Feb-22 12:17 |
lomiri-libusermetrics-1.3.3-r1.apk | 141.5 KiB | 2025-Feb-22 12:17 |
lomiri-thumbnailer-doc-3.0.4-r2.apk | 1.5 KiB | 2025-Feb-22 12:17 |
log4cxx-dev-1.1.0-r3.apk | 135.2 KiB | 2025-Feb-22 12:17 |
laze-fish-completion-0.1.31-r0.apk | 3.4 KiB | 2025-Feb-22 12:17 |
laze-bash-completion-0.1.31-r0.apk | 3.1 KiB | 2025-Feb-22 12:17 |
laze-zsh-completion-0.1.31-r0.apk | 3.6 KiB | 2025-Feb-22 12:17 |
lomiri-api-0.2.2-r1.apk | 29.8 KiB | 2025-Feb-22 12:17 |
litehtml-static-0.9-r2.apk | 455.6 KiB | 2025-Feb-22 12:17 |
lomiri-libusermetrics-doc-1.3.3-r1.apk | 227.6 KiB | 2025-Feb-22 12:17 |
libqtdbustest-0.3.3-r1.apk | 27.8 KiB | 2025-Feb-22 12:17 |
lomiri-libusermetrics-lang-1.3.3-r1.apk | 43.2 KiB | 2025-Feb-22 12:17 |
lomiri-thumbnailer-dev-3.0.4-r2.apk | 5.0 KiB | 2025-Feb-22 12:17 |
litehtml-0.9-r2.apk | 260.3 KiB | 2025-Feb-22 12:17 |
libqtdbusmock-0.9.1-r2.apk | 61.7 KiB | 2025-Feb-22 12:17 |
lomiri-thumbnailer-3.0.4-r2.apk | 192.7 KiB | 2025-Feb-22 12:17 |
litehtml-dev-0.9-r2.apk | 41.6 KiB | 2025-Feb-22 12:17 |
log4cxx-1.1.0-r3.apk | 449.3 KiB | 2025-Feb-22 12:17 |
laze-doc-0.1.31-r0.apk | 3.5 KiB | 2025-Feb-22 12:17 |
flann-doc-1.9.2-r1.apk | 2.5 KiB | 2025-Feb-22 12:17 |
draco-static-1.5.7-r2.apk | 1.6 MiB | 2025-Feb-22 12:17 |
draco-dev-1.5.7-r2.apk | 204.7 KiB | 2025-Feb-22 12:17 |
flann-1.9.2-r1.apk | 1.5 MiB | 2025-Feb-22 12:17 |
gstreamermm-dev-1.10.0-r6.apk | 309.7 KiB | 2025-Feb-22 12:17 |
gmenuharness-0.1.4-r2.apk | 32.1 KiB | 2025-Feb-22 12:17 |
draco-1.5.7-r2.apk | 718.9 KiB | 2025-Feb-22 12:17 |
gstreamermm-1.10.0-r6.apk | 403.1 KiB | 2025-Feb-22 12:17 |
dhewm3-1.5.4-r0.apk | 4.6 MiB | 2025-Feb-22 12:17 |
gmenuharness-dev-0.1.4-r2.apk | 4.1 KiB | 2025-Feb-22 12:17 |
flann-dev-1.9.2-r1.apk | 1.3 MiB | 2025-Feb-22 12:17 |
draco-tools-1.5.7-r2.apk | 1.1 MiB | 2025-Feb-22 12:17 |
click-0.5.2-r4.apk | 149.5 KiB | 2025-Feb-22 12:17 |
click-pyc-0.5.2-r4.apk | 174.8 KiB | 2025-Feb-22 12:17 |
click-dev-0.5.2-r4.apk | 9.1 KiB | 2025-Feb-22 12:17 |
click-doc-0.5.2-r4.apk | 3.3 KiB | 2025-Feb-22 12:17 |
cava-0.10.4-r1.apk | 40.5 KiB | 2025-Feb-22 12:17 |
barrier-2.4.0-r2.apk | 860.3 KiB | 2025-Feb-22 12:17 |
bochs-doc-2.8-r1.apk | 139.0 KiB | 2025-Feb-22 12:17 |
biometryd-0.3.1-r7.apk | 265.5 KiB | 2025-Feb-22 12:17 |
barrier-doc-2.4.0-r2.apk | 13.0 KiB | 2025-Feb-22 12:17 |
biometryd-dev-0.3.1-r7.apk | 13.3 KiB | 2025-Feb-22 12:17 |
bochs-2.8-r1.apk | 887.5 KiB | 2025-Feb-22 12:17 |
libxml++-5.4.0-r0.apk | 58.4 KiB | 2025-Feb-13 07:45 |
libxml++-dev-5.4.0-r0.apk | 29.4 KiB | 2025-Feb-13 07:45 |
openwsman-libs-2.8.1-r0.apk | 290.4 KiB | 2025-Feb-13 07:44 |
openwsman-dev-2.8.1-r0.apk | 55.7 KiB | 2025-Feb-13 07:44 |
openwsman-doc-2.8.1-r0.apk | 2.3 KiB | 2025-Feb-13 07:44 |
openwsman-2.8.1-r0.apk | 43.5 KiB | 2025-Feb-13 07:44 |
py3-netmiko-pyc-4.5.0-r0.apk | 348.0 KiB | 2025-Feb-13 07:33 |
py3-netmiko-4.5.0-r0.apk | 179.2 KiB | 2025-Feb-13 07:33 |
rsstail-doc-2.2-r0.apk | 2.8 KiB | 2025-Feb-13 07:17 |
rsstail-2.2-r0.apk | 8.0 KiB | 2025-Feb-13 07:17 |
hw-probe-1.6.6-r0.apk | 123.8 KiB | 2025-Feb-11 10:52 |
dropwatch-1.5.4-r7.apk | 14.2 KiB | 2025-Feb-10 23:18 |
dropwatch-doc-1.5.4-r7.apk | 3.6 KiB | 2025-Feb-10 23:18 |
kimchi-lang-3.0.0-r8.apk | 171.9 KiB | 2025-Feb-10 21:12 |
kimchi-3.0.0-r8.apk | 528.9 KiB | 2025-Feb-10 21:12 |
kimchi-pyc-3.0.0-r8.apk | 476.1 KiB | 2025-Feb-10 21:12 |
qtile-pyc-0.30.0-r0.apk | 837.4 KiB | 2025-Feb-10 21:08 |
qtile-0.30.0-r0.apk | 435.8 KiB | 2025-Feb-10 21:08 |
py3-truststore-0.10.1-r0.apk | 17.5 KiB | 2025-Feb-10 03:38 |
py3-truststore-pyc-0.10.1-r0.apk | 25.5 KiB | 2025-Feb-10 03:38 |
py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7.1 KiB | 2025-Feb-09 15:13 |
py3-x-wr-timezone-2.0.1-r0.apk | 11.7 KiB | 2025-Feb-09 15:13 |
supercollider-3.13.0-r6.apk | 7.1 MiB | 2025-Feb-08 23:44 |
supercollider-dev-3.13.0-r6.apk | 39.2 KiB | 2025-Feb-08 23:44 |
sc3-plugins-3.13.0-r2.apk | 8.4 MiB | 2025-Feb-08 23:44 |
aptdec-1.8.0-r1.apk | 83.9 KiB | 2025-Feb-08 23:44 |
serialdv-dev-1.1.4-r1.apk | 5.1 KiB | 2025-Feb-08 23:44 |
aptdec-libs-1.8.0-r1.apk | 13.2 KiB | 2025-Feb-08 23:44 |
glslviewer-3.2.4-r1.apk | 1.6 MiB | 2025-Feb-08 23:44 |
serialdv-libs-1.1.4-r1.apk | 55.6 KiB | 2025-Feb-08 23:44 |
aptdec-dev-1.8.0-r1.apk | 3.4 KiB | 2025-Feb-08 23:44 |
serialdv-1.1.4-r1.apk | 7.0 KiB | 2025-Feb-08 23:44 |
libnest2d-dev-0.4-r7.apk | 69.9 KiB | 2025-Feb-06 04:42 |
libnest2d-0.4-r7.apk | 1.2 KiB | 2025-Feb-06 04:42 |
py3-pynest2d-5.2.2-r5.apk | 224.1 KiB | 2025-Feb-06 04:42 |
gamemode-doc-1.8.2-r0.apk | 7.5 KiB | 2025-Feb-05 23:19 |
gamemode-1.8.2-r0.apk | 68.6 KiB | 2025-Feb-05 23:19 |
gamemode-dev-1.8.2-r0.apk | 5.1 KiB | 2025-Feb-05 23:19 |
xed-lang-3.8.2-r0.apk | 2.1 MiB | 2025-Feb-05 22:11 |
xonsh-0.19.1-r0.apk | 584.7 KiB | 2025-Feb-05 22:11 |
xonsh-pyc-0.19.1-r0.apk | 1.0 MiB | 2025-Feb-05 22:11 |
mint-y-icons-1.8.3-r0.apk | 72.3 MiB | 2025-Feb-05 22:11 |
xed-python-3.8.2-r0.apk | 24.3 KiB | 2025-Feb-05 22:11 |
mint-y-icons-doc-1.8.3-r0.apk | 11.3 KiB | 2025-Feb-05 22:11 |
xed-doc-3.8.2-r0.apk | 970.9 KiB | 2025-Feb-05 22:11 |
xed-dev-3.8.2-r0.apk | 13.6 KiB | 2025-Feb-05 22:11 |
xed-3.8.2-r0.apk | 1.0 MiB | 2025-Feb-05 22:11 |
ttyper-1.6.0-r0.apk | 535.1 KiB | 2025-Feb-05 21:45 |
siril-doc-1.2.6-r0.apk | 17.7 KiB | 2025-Feb-04 17:20 |
spvm-math-1.006-r0.apk | 17.6 KiB | 2025-Feb-04 17:20 |
svgbob-0.7.6-r0.apk | 404.5 KiB | 2025-Feb-04 17:20 |
siril-lang-1.2.6-r0.apk | 1.6 MiB | 2025-Feb-04 17:20 |
spvm-thread-doc-0.003-r0.apk | 5.8 KiB | 2025-Feb-04 17:20 |
spvm-mime-base64-1.003-r0.apk | 13.1 KiB | 2025-Feb-04 17:20 |
spvm-math-doc-1.006-r0.apk | 6.8 KiB | 2025-Feb-04 17:20 |
spvm-thread-0.003-r0.apk | 10.3 KiB | 2025-Feb-04 17:20 |
siril-1.2.6-r0.apk | 2.5 MiB | 2025-Feb-04 17:20 |
spvm-mime-base64-doc-1.003-r0.apk | 5.3 KiB | 2025-Feb-04 17:20 |
mkosi-25.3-r0.apk | 250.9 KiB | 2025-Feb-04 17:20 |
incus-ui-canonical-0.14.6-r0.apk | 4.5 MiB | 2025-Feb-04 17:20 |
mkosi-pyc-25.3-r0.apk | 393.4 KiB | 2025-Feb-04 17:20 |
gtk-session-lock-dev-0.2.0-r0.apk | 5.3 KiB | 2025-Feb-01 17:06 |
gtklock-4.0.0-r0.apk | 17.0 KiB | 2025-Feb-01 17:06 |
gtklock-doc-4.0.0-r0.apk | 3.0 KiB | 2025-Feb-01 17:06 |
metadata-cleaner-lang-2.5.6-r0.apk | 65.9 KiB | 2025-Feb-01 17:06 |
metadata-cleaner-2.5.6-r0.apk | 49.1 KiB | 2025-Feb-01 17:06 |
metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-Feb-01 17:06 |
gtk-session-lock-0.2.0-r0.apk | 22.8 KiB | 2025-Feb-01 17:06 |
py3-poppler-qt5-21.3.0-r2.apk | 111.3 KiB | 2025-Jan-29 19:44 |
katarakt-0.2-r1.apk | 74.2 KiB | 2025-Jan-29 19:44 |
sturmreader-lang-3.7.2-r1.apk | 38.9 KiB | 2025-Jan-29 19:44 |
qpdfview-0.5-r2.apk | 965.1 KiB | 2025-Jan-29 19:44 |
qpdfview-doc-0.5-r2.apk | 4.2 KiB | 2025-Jan-29 19:44 |
sturmreader-3.7.2-r1.apk | 1.0 MiB | 2025-Jan-29 19:44 |
py3-wgconfig-pyc-1.1.0-r0.apk | 11.7 KiB | 2025-Jan-29 06:49 |
py3-wgconfig-1.1.0-r0.apk | 22.0 KiB | 2025-Jan-29 06:49 |
py3-ioctl-opt-1.3-r0.apk | 11.6 KiB | 2025-Jan-27 21:37 |
py3-ioctl-opt-pyc-1.3-r0.apk | 4.6 KiB | 2025-Jan-27 21:37 |
fastd-doc-23-r0.apk | 3.3 KiB | 2025-Jan-27 21:33 |
fastd-openrc-23-r0.apk | 1.7 KiB | 2025-Jan-27 21:33 |
fastd-23-r0.apk | 64.4 KiB | 2025-Jan-27 21:33 |
py3-opendht-3.1.11-r0.apk | 143.9 KiB | 2025-Jan-27 20:56 |
opendht-libs-3.1.11-r0.apk | 512.1 KiB | 2025-Jan-27 20:56 |
opendht-doc-3.1.11-r0.apk | 3.0 KiB | 2025-Jan-27 20:56 |
opendht-dev-3.1.11-r0.apk | 70.9 KiB | 2025-Jan-27 20:56 |
opendht-3.1.11-r0.apk | 161.5 KiB | 2025-Jan-27 20:56 |
musikcube-3.0.4-r1.apk | 2.0 MiB | 2025-Jan-26 19:29 |
musikcube-plugin-httpdatastream-3.0.4-r1.apk | 64.4 KiB | 2025-Jan-26 19:29 |
android-file-transfer-dev-4.3-r1.apk | 1.5 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-server-3.0.4-r1.apk | 327.0 KiB | 2025-Jan-26 19:29 |
android-file-transfer-cli-4.3-r1.apk | 97.4 KiB | 2025-Jan-26 19:29 |
py3-pytaglib-3.0.0-r0.apk | 37.1 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-taglibreader-3.0.4-r1.apk | 29.5 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-mpris-3.0.4-r1.apk | 18.1 KiB | 2025-Jan-26 19:29 |
android-file-transfer-4.3-r1.apk | 173.6 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-all-3.0.4-r1.apk | 1.3 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-stockencoders-3.0.4-r1.apk | 16.8 KiB | 2025-Jan-26 19:29 |
android-file-transfer-libs-4.3-r1.apk | 114.1 KiB | 2025-Jan-26 19:29 |
musikcube-dev-3.0.4-r1.apk | 19.0 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-supereqdsp-3.0.4-r1.apk | 22.0 KiB | 2025-Jan-26 19:29 |
musikcube-plugin-openmpt-3.0.4-r1.apk | 24.2 KiB | 2025-Jan-26 19:29 |
py3-pytaglib-pyc-3.0.0-r0.apk | 2.9 KiB | 2025-Jan-26 19:29 |
gcli-2.6.1-r0.apk | 108.7 KiB | 2025-Jan-26 17:28 |
gcli-doc-2.6.1-r0.apk | 28.2 KiB | 2025-Jan-26 17:28 |
morph-browser-lang-1.1.2-r0.apk | 326.8 KiB | 2025-Jan-26 01:34 |
morph-browser-1.1.2-r0.apk | 517.4 KiB | 2025-Jan-26 01:34 |
py3-milc-1.9.1-r0.apk | 26.0 KiB | 2025-Jan-25 16:04 |
py3-milc-pyc-1.9.1-r0.apk | 41.5 KiB | 2025-Jan-25 16:04 |
vcdimager-doc-2.0.1-r5.apk | 74.3 KiB | 2025-Jan-25 07:04 |
vcdimager-2.0.1-r5.apk | 450.1 KiB | 2025-Jan-25 07:04 |
vcdimager-dev-2.0.1-r5.apk | 112.9 KiB | 2025-Jan-25 07:04 |
trace-cmd-3.3.1-r1.apk | 148.7 KiB | 2025-Jan-25 07:04 |
trace-cmd-bash-completion-3.3.1-r1.apk | 3.3 KiB | 2025-Jan-25 07:04 |
trace-cmd-doc-3.3.1-r1.apk | 171.3 KiB | 2025-Jan-25 07:04 |
trace-cmd-dbg-3.3.1-r1.apk | 516.2 KiB | 2025-Jan-25 07:04 |
tang-doc-15-r0.apk | 20.6 KiB | 2025-Jan-25 07:04 |
spvm-errno-0.093-r0.apk | 15.2 KiB | 2025-Jan-25 07:04 |
tang-openrc-15-r0.apk | 1.9 KiB | 2025-Jan-25 07:04 |
tang-dbg-15-r0.apk | 32.3 KiB | 2025-Jan-25 07:04 |
tang-15-r0.apk | 14.3 KiB | 2025-Jan-25 07:04 |
spvm-errno-doc-0.093-r0.apk | 5.9 KiB | 2025-Jan-25 07:04 |
rpicam-apps-doc-1.5.3-r0.apk | 2.3 KiB | 2025-Jan-25 07:04 |
rpicam-apps-1.5.3-r0.apk | 393.6 KiB | 2025-Jan-25 07:04 |
pyinfra-pyc-3.2-r0.apk | 348.7 KiB | 2025-Jan-25 07:04 |
pyinfra-3.2-r0.apk | 186.0 KiB | 2025-Jan-25 07:04 |
py3-isbnlib-3.10.14-r0.apk | 42.7 KiB | 2025-Jan-25 07:04 |
py3-cdio-2.1.1-r6.apk | 95.8 KiB | 2025-Jan-25 07:04 |
py3-flask-limiter-3.10.1-r0.apk | 26.6 KiB | 2025-Jan-25 07:04 |
py3-bibtexparser-1.4.3-r0.apk | 40.2 KiB | 2025-Jan-25 07:04 |
py3-isbnlib-pyc-3.10.14-r0.apk | 66.7 KiB | 2025-Jan-25 07:04 |
py3-cdio-pyc-2.1.1-r6.apk | 43.1 KiB | 2025-Jan-25 07:04 |
py3-flask-limiter-pyc-3.10.1-r0.apk | 47.0 KiB | 2025-Jan-25 07:04 |
py3-bibtexparser-pyc-1.4.3-r0.apk | 48.8 KiB | 2025-Jan-25 07:04 |
ode-0.16.6-r0.apk | 536.4 KiB | 2025-Jan-25 07:04 |
perl-net-curl-doc-0.57-r0.apk | 39.1 KiB | 2025-Jan-25 07:04 |
nuzzle-doc-1.6-r0.apk | 3.2 KiB | 2025-Jan-25 07:04 |
perl-net-curl-0.57-r0.apk | 55.0 KiB | 2025-Jan-25 07:04 |
nuzzle-1.6-r0.apk | 11.0 KiB | 2025-Jan-25 07:04 |
libdcmtk-3.6.9-r0.apk | 6.1 MiB | 2025-Jan-25 07:04 |
kodaskanna-lang-0.2.2-r0.apk | 23.2 KiB | 2025-Jan-25 07:04 |
kodaskanna-0.2.2-r0.apk | 45.7 KiB | 2025-Jan-25 07:04 |
gupnp-dev-1.6.8-r0.apk | 50.3 KiB | 2025-Jan-25 07:03 |
gupnp-1.6.8-r0.apk | 79.1 KiB | 2025-Jan-25 07:03 |
gxlimg-0_git20240711-r0.apk | 22.8 KiB | 2025-Jan-25 07:03 |
gupnp-doc-1.6.8-r0.apk | 3.7 KiB | 2025-Jan-25 07:03 |
dufs-0.43.0-r0.apk | 1.3 MiB | 2025-Jan-25 07:03 |
dufs-fish-completion-0.43.0-r0.apk | 2.3 KiB | 2025-Jan-25 07:03 |
dufs-bash-completion-0.43.0-r0.apk | 2.3 KiB | 2025-Jan-25 07:03 |
dufs-zsh-completion-0.43.0-r0.apk | 2.7 KiB | 2025-Jan-25 07:03 |
dufs-doc-0.43.0-r0.apk | 10.5 KiB | 2025-Jan-25 07:03 |
dcmtk-doc-3.6.9-r0.apk | 257.4 KiB | 2025-Jan-25 07:03 |
dcmtk-dev-3.6.9-r0.apk | 1.6 MiB | 2025-Jan-25 07:03 |
dcmtk-3.6.9-r0.apk | 1.2 MiB | 2025-Jan-25 07:03 |
dcmtk-openrc-3.6.9-r0.apk | 1.7 KiB | 2025-Jan-25 07:03 |
clevis-bash-completion-21-r0.apk | 2.0 KiB | 2025-Jan-25 07:03 |
clevis-dbg-21-r0.apk | 62.5 KiB | 2025-Jan-25 07:03 |
clevis-21-r0.apk | 49.4 KiB | 2025-Jan-25 07:03 |
clevis-doc-21-r0.apk | 23.2 KiB | 2025-Jan-25 07:03 |
waifu2x-converter-cpp-5.3.4-r8.apk | 11.6 MiB | 2025-Jan-16 22:06 |
perl-dbix-class-doc-0.082844-r0.apk | 420.5 KiB | 2025-Jan-16 17:26 |
perl-dbix-class-0.082844-r0.apk | 355.5 KiB | 2025-Jan-16 17:26 |
perl-libintl-perl-1.35-r0.apk | 304.9 KiB | 2025-Jan-16 13:35 |
perl-libintl-perl-doc-1.35-r0.apk | 571.4 KiB | 2025-Jan-16 13:35 |
py3-spnego-0.11.2-r0.apk | 117.9 KiB | 2025-Jan-16 07:51 |
py3-spnego-pyc-0.11.2-r0.apk | 219.1 KiB | 2025-Jan-16 07:51 |
gupnp-av-dev-0.14.3-r0.apk | 40.9 KiB | 2025-Jan-15 23:26 |
gupnp-av-0.14.3-r0.apk | 71.3 KiB | 2025-Jan-15 23:26 |
libm4rie-20200125-r5.apk | 206.2 KiB | 2025-Jan-15 18:06 |
libm4rie-static-20200125-r5.apk | 221.7 KiB | 2025-Jan-15 18:06 |
libm4ri-static-20240729-r2.apk | 127.7 KiB | 2025-Jan-15 18:06 |
libm4ri-20240729-r2.apk | 119.6 KiB | 2025-Jan-15 18:06 |
libm4ri-dev-20240729-r2.apk | 31.5 KiB | 2025-Jan-15 18:06 |
libm4rie-dev-20200125-r5.apk | 24.4 KiB | 2025-Jan-15 18:06 |
xtensor-0.25.0-r0.apk | 267.5 KiB | 2025-Jan-15 02:50 |
highfive-2.10.1-r0.apk | 75.5 KiB | 2025-Jan-15 02:50 |
aoetools-doc-37-r2.apk | 13.8 KiB | 2025-Jan-14 13:44 |
aoetools-37-r2.apk | 18.9 KiB | 2025-Jan-14 13:44 |
mirrorhall-0.1.1-r1.apk | 26.3 KiB | 2025-Jan-14 13:44 |
tick-1.2.2-r0.apk | 10.0 KiB | 2025-Jan-14 01:26 |
tick-doc-1.2.2-r0.apk | 5.5 KiB | 2025-Jan-14 01:26 |
diceware-1.0.1-r0.apk | 334.0 KiB | 2025-Jan-13 22:49 |
diceware-pyc-1.0.1-r0.apk | 18.1 KiB | 2025-Jan-13 22:49 |
py3-linux-procfs-pyc-0.7.3-r0.apk | 22.1 KiB | 2025-Jan-13 21:19 |
py3-linux-procfs-0.7.3-r0.apk | 13.6 KiB | 2025-Jan-13 21:19 |
pdal-python-plugins-1.6.2-r0.apk | 210.0 KiB | 2025-Jan-13 06:32 |
libxo-dev-1.7.5-r0.apk | 66.9 KiB | 2025-Jan-12 22:45 |
libxo-doc-1.7.5-r0.apk | 62.8 KiB | 2025-Jan-12 22:45 |
libxo-1.7.5-r0.apk | 170.2 KiB | 2025-Jan-12 22:45 |
zutty-0.16-r0.apk | 140.1 KiB | 2025-Jan-12 21:01 |
zutty-doc-0.16-r0.apk | 66.6 KiB | 2025-Jan-12 21:01 |
elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-Jan-12 20:34 |
typstyle-0.12.14-r0.apk | 457.7 KiB | 2025-Jan-12 13:45 |
whatsie-doc-4.16.3-r0.apk | 2.2 KiB | 2025-Jan-12 13:00 |
whatsie-4.16.3-r0.apk | 15.3 MiB | 2025-Jan-12 13:00 |
py3-pyglet-pyc-2.1.0-r0.apk | 1.6 MiB | 2025-Jan-12 09:01 |
py3-pyglet-2.1.0-r0.apk | 870.2 KiB | 2025-Jan-12 09:01 |
mdbook-alerts-0.7.0-r0.apk | 667.8 KiB | 2025-Jan-11 12:08 |
monopd-openrc-0.10.4-r0.apk | 1.7 KiB | 2025-Jan-11 11:11 |
monopd-0.10.4-r0.apk | 83.8 KiB | 2025-Jan-11 11:11 |
libqofono-dev-0.124-r0.apk | 42.1 KiB | 2025-Jan-10 15:33 |
py3-blockdiag-3.0.0-r6.apk | 68.0 KiB | 2025-Jan-10 15:33 |
py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-Jan-10 15:33 |
libqofono-0.124-r0.apk | 1.2 KiB | 2025-Jan-10 15:33 |
py3-blockdiag-pyc-3.0.0-r6.apk | 148.8 KiB | 2025-Jan-10 15:33 |
libqofono-qt5-0.124-r0.apk | 262.7 KiB | 2025-Jan-10 15:33 |
libqofono-qt6-0.124-r0.apk | 355.8 KiB | 2025-Jan-10 15:33 |
lomiri-notifications-1.3.1-r0.apk | 83.8 KiB | 2025-Jan-10 10:07 |
lomiri-ui-toolkit-dev-1.3.5110-r0.apk | 167.7 KiB | 2025-Jan-10 10:07 |
lomiri-settings-components-1.1.2-r0.apk | 215.8 KiB | 2025-Jan-10 10:07 |
lomiri-settings-components-lang-1.1.2-r0.apk | 97.8 KiB | 2025-Jan-10 10:07 |
lomiri-ui-toolkit-1.3.5110-r0.apk | 1.2 MiB | 2025-Jan-10 10:07 |
lomiri-ui-toolkit-lang-1.3.5110-r0.apk | 96.4 KiB | 2025-Jan-10 10:07 |
tree-sitter-haskell-0.23.1-r0.apk | 282.6 KiB | 2025-Jan-09 15:53 |
zfs-src-2.2.4-r1.apk | 32.4 MiB | 2025-Jan-09 11:46 |
lkrg-doc-0.9.6-r1.apk | 21.6 KiB | 2025-Jan-09 11:44 |
lkrg-0.9.6-r1.apk | 104.7 KiB | 2025-Jan-09 11:44 |
perl-uri-db-0.23-r0.apk | 10.9 KiB | 2025-Jan-09 05:26 |
perl-uri-db-doc-0.23-r0.apk | 8.3 KiB | 2025-Jan-09 05:26 |
gkrellm-dev-2.3.11-r0.apk | 16.5 KiB | 2025-Jan-08 22:36 |
gkrellm-lang-2.3.11-r0.apk | 379.1 KiB | 2025-Jan-08 22:36 |
gkrellm-doc-2.3.11-r0.apk | 18.5 KiB | 2025-Jan-08 22:36 |
gkrellm-server-2.3.11-r0.apk | 47.4 KiB | 2025-Jan-08 22:36 |
gkrellm-2.3.11-r0.apk | 345.8 KiB | 2025-Jan-08 22:36 |
gmcapsule-openrc-0.9.7-r0.apk | 1.9 KiB | 2025-Jan-08 19:12 |
gmcapsule-0.9.7-r0.apk | 36.0 KiB | 2025-Jan-08 19:12 |
gmcapsule-pyc-0.9.7-r0.apk | 60.6 KiB | 2025-Jan-08 19:12 |
py3-pathvalidate-pyc-3.2.3-r0.apk | 33.3 KiB | 2025-Jan-06 10:40 |
py3-pathvalidate-3.2.3-r0.apk | 18.8 KiB | 2025-Jan-06 10:40 |
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4.1 KiB | 2025-Jan-05 15:08 |
perl-datetime-format-atom-1.8.0-r0.apk | 3.2 KiB | 2025-Jan-05 15:08 |
perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.4 KiB | 2025-Jan-05 15:08 |
perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.8 KiB | 2025-Jan-05 15:08 |
libzrtpcpp-4.7.0-r0.apk | 154.0 KiB | 2025-Jan-04 21:55 |
libzrtpcpp-dev-4.7.0-r0.apk | 37.9 KiB | 2025-Jan-04 21:55 |
twinkle-doc-1.10.3-r3.apk | 3.5 KiB | 2025-Jan-04 21:55 |
twinkle-1.10.3-r3.apk | 2.3 MiB | 2025-Jan-04 21:55 |
plfit-1.0.1-r0.apk | 34.9 KiB | 2025-Jan-04 03:47 |
plfit-dev-1.0.1-r0.apk | 6.5 KiB | 2025-Jan-04 03:47 |
plfit-libs-1.0.1-r0.apk | 25.7 KiB | 2025-Jan-04 03:47 |
plfit-static-1.0.1-r0.apk | 29.3 KiB | 2025-Jan-04 03:47 |
bootchart2-0.14.9-r0.apk | 135.2 KiB | 2025-Jan-03 10:13 |
lyrics-in-terminal-1.7.0-r0.apk | 38.1 KiB | 2025-Jan-03 10:09 |
perl-mce-1.901-r0.apk | 134.3 KiB | 2025-Jan-03 04:21 |
perl-mce-doc-1.901-r0.apk | 169.7 KiB | 2025-Jan-03 04:21 |
bindfs-1.17.7-r0.apk | 20.3 KiB | 2025-Jan-02 15:14 |
bindfs-doc-1.17.7-r0.apk | 9.0 KiB | 2025-Jan-02 15:14 |
py3-mopidy-local-3.3.0-r0.apk | 27.8 KiB | 2025-Jan-01 22:25 |
py3-mopidy-local-pyc-3.3.0-r0.apk | 34.1 KiB | 2025-Jan-01 22:25 |
pgcat-1.2.0-r1.apk | 2.3 MiB | 2025-Jan-01 17:37 |
pgcat-openrc-1.2.0-r1.apk | 1.9 KiB | 2025-Jan-01 17:37 |
perl-datetime-format-flexible-doc-0.37-r0.apk | 12.1 KiB | 2024-Dec-31 13:26 |
perl-datetime-format-flexible-0.37-r0.apk | 18.0 KiB | 2024-Dec-31 13:26 |
geoclue-stumbler-0.2.0-r0.apk | 26.2 KiB | 2024-Dec-31 12:56 |
perl-getopt-long-descriptive-0.116-r0.apk | 14.6 KiB | 2024-Dec-31 09:39 |
perl-getopt-long-descriptive-doc-0.116-r0.apk | 11.0 KiB | 2024-Dec-31 09:39 |
soapy-bladerf-0.4.2-r0.apk | 45.5 KiB | 2024-Dec-31 01:11 |
fungw-c-1.2.1-r0.apk | 7.6 KiB | 2024-Dec-30 09:48 |
fungw-dev-1.2.1-r0.apk | 7.6 KiB | 2024-Dec-30 09:48 |
fungw-1.2.1-r0.apk | 12.7 KiB | 2024-Dec-30 09:48 |
fungw-lua-1.2.1-r0.apk | 12.9 KiB | 2024-Dec-30 09:48 |
fungw-mujs-1.2.1-r0.apk | 14.3 KiB | 2024-Dec-30 09:48 |
fungw-duktape-1.2.1-r0.apk | 14.8 KiB | 2024-Dec-30 09:48 |
fungw-cli-1.2.1-r0.apk | 21.7 KiB | 2024-Dec-30 09:48 |
fungw-perl-1.2.1-r0.apk | 45.8 KiB | 2024-Dec-30 09:48 |
fungw-fawk-1.2.1-r0.apk | 98.5 KiB | 2024-Dec-30 09:48 |
fungw-tcl-1.2.1-r0.apk | 12.2 KiB | 2024-Dec-30 09:48 |
fungw-python3-1.2.1-r0.apk | 24.5 KiB | 2024-Dec-30 09:48 |
fungw-doc-1.2.1-r0.apk | 12.9 KiB | 2024-Dec-30 09:48 |
perl-sql-abstract-more-doc-1.43-r0.apk | 16.5 KiB | 2024-Dec-30 06:37 |
perl-dbix-connector-0.60-r0.apk | 14.7 KiB | 2024-Dec-30 06:37 |
perl-dbix-lite-doc-0.36-r0.apk | 17.5 KiB | 2024-Dec-30 06:37 |
perl-dbix-lite-0.36-r0.apk | 18.0 KiB | 2024-Dec-30 06:37 |
perl-sql-abstract-more-1.43-r0.apk | 27.5 KiB | 2024-Dec-30 06:37 |
perl-dbix-connector-doc-0.60-r0.apk | 22.3 KiB | 2024-Dec-30 06:37 |
perl-dancer2-doc-1.1.2-r0.apk | 300.8 KiB | 2024-Dec-28 04:54 |
perl-cli-osprey-doc-0.08-r0.apk | 12.1 KiB | 2024-Dec-28 04:54 |
perl-dancer2-1.1.2-r0.apk | 163.0 KiB | 2024-Dec-28 04:54 |
perl-cli-osprey-0.08-r0.apk | 12.8 KiB | 2024-Dec-28 04:54 |
libdng-doc-0.2.1-r0.apk | 4.2 KiB | 2024-Dec-27 22:09 |
libdng-dev-0.2.1-r0.apk | 3.2 KiB | 2024-Dec-27 22:09 |
libdng-0.2.1-r0.apk | 10.1 KiB | 2024-Dec-27 22:09 |
libdng-utils-0.2.1-r0.apk | 5.7 KiB | 2024-Dec-27 22:09 |
catfish-doc-4.20.0-r0.apk | 12.9 KiB | 2024-Dec-27 19:25 |
catfish-4.20.0-r0.apk | 126.3 KiB | 2024-Dec-27 19:25 |
catfish-pyc-4.20.0-r0.apk | 101.1 KiB | 2024-Dec-27 19:25 |
perl-plack-middleware-removeredundantbody-0.09-..> | 2.5 KiB | 2024-Dec-27 19:25 |
catfish-lang-4.20.0-r0.apk | 162.4 KiB | 2024-Dec-27 19:25 |
perl-plack-middleware-removeredundantbody-doc-0..> | 3.1 KiB | 2024-Dec-27 19:25 |
perl-plack-middleware-fixmissingbodyinredirect-..> | 3.1 KiB | 2024-Dec-26 09:36 |
perl-plack-middleware-fixmissingbodyinredirect-..> | 3.1 KiB | 2024-Dec-26 09:36 |
py3-pysubs2-pyc-1.8.0-r0.apk | 67.7 KiB | 2024-Dec-25 22:09 |
py3-pysubs2-1.8.0-r0.apk | 35.9 KiB | 2024-Dec-25 22:09 |
octoprint-openrc-1.10.3-r0.apk | 1.7 KiB | 2024-Dec-25 22:04 |
octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-Dec-25 22:04 |
octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-Dec-25 22:04 |
py3-limits-3.14.1-r0.apk | 33.5 KiB | 2024-Dec-25 18:58 |
py3-minio-pyc-7.2.13-r0.apk | 160.4 KiB | 2024-Dec-25 18:58 |
py3-limits-pyc-3.14.1-r0.apk | 71.4 KiB | 2024-Dec-25 18:58 |
py3-minio-7.2.13-r0.apk | 76.4 KiB | 2024-Dec-25 18:58 |
guish-2.6.11-r0.apk | 80.6 KiB | 2024-Dec-24 10:42 |
guish-doc-2.6.11-r0.apk | 61.3 KiB | 2024-Dec-24 10:42 |
mint-x-icons-1.7.2-r0.apk | 22.5 MiB | 2024-Dec-24 10:07 |
mint-x-icons-doc-1.7.2-r0.apk | 7.4 KiB | 2024-Dec-24 10:07 |
reprotest-0.7.29-r0.apk | 80.2 KiB | 2024-Dec-23 04:07 |
reprotest-pyc-0.7.29-r0.apk | 102.9 KiB | 2024-Dec-23 04:07 |
pitivi-lang-2023.03-r2.apk | 678.3 KiB | 2024-Dec-23 01:13 |
pitivi-pyc-2023.03-r2.apk | 700.2 KiB | 2024-Dec-23 01:13 |
pitivi-2023.03-r2.apk | 2.7 MiB | 2024-Dec-23 01:13 |
amber-mpris-dev-1.2.9-r0.apk | 6.7 KiB | 2024-Dec-22 17:00 |
amber-mpris-1.2.9-r0.apk | 183.4 KiB | 2024-Dec-22 17:00 |
tk9-9.0.1-r0.apk | 774.4 KiB | 2024-Dec-22 06:12 |
tcl9-9.0.1-r0.apk | 1.7 MiB | 2024-Dec-22 06:12 |
tk9-dev-9.0.1-r0.apk | 81.2 KiB | 2024-Dec-22 06:12 |
tcl9-doc-9.0.1-r0.apk | 1.4 MiB | 2024-Dec-22 06:12 |
tcl9-dev-9.0.1-r0.apk | 182.7 KiB | 2024-Dec-22 06:12 |
tk9-doc-9.0.1-r0.apk | 1.3 MiB | 2024-Dec-22 06:12 |
swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-Dec-21 10:08 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-Dec-21 10:08 |
swi-prolog-9.2.9-r0.apk | 4.5 MiB | 2024-Dec-21 10:08 |
swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-Dec-21 10:08 |
swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-Dec-21 10:08 |
perl-ffi-platypus-doc-2.10-r0.apk | 146.1 KiB | 2024-Dec-19 05:14 |
perl-ffi-platypus-2.10-r0.apk | 175.0 KiB | 2024-Dec-19 05:14 |
smplxmpp-0.9.3-r4.apk | 131.6 KiB | 2024-Dec-18 12:24 |
smplxmpp-doc-0.9.3-r4.apk | 24.8 KiB | 2024-Dec-18 12:24 |
tangara-companion-0.4.3-r0.apk | 970.9 KiB | 2024-Dec-17 21:07 |
py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-Dec-16 11:36 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-Dec-16 11:36 |
throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-Dec-15 19:22 |
throttled-0.10.0-r1.apk | 14.6 KiB | 2024-Dec-15 19:22 |
throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-Dec-15 19:22 |
bore-0.5.2-r0.apk | 491.9 KiB | 2024-Dec-15 19:18 |
perl-template-tiny-1.14-r0.apk | 5.2 KiB | 2024-Dec-15 10:09 |
perl-template-tiny-doc-1.14-r0.apk | 4.7 KiB | 2024-Dec-15 10:09 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-Dec-14 22:50 |
py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-Dec-14 22:50 |
azote-1.14.0-r0.apk | 7.6 MiB | 2024-Dec-14 20:38 |
azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-Dec-14 20:38 |
pebble-le-0.3.0-r2.apk | 59.0 KiB | 2024-Dec-14 19:23 |
libsemigroups-dev-2.7.3-r1.apk | 334.5 KiB | 2024-Dec-14 19:23 |
restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-Dec-14 19:23 |
ntpd-rs-openrc-1.4.0-r0.apk | 1.8 KiB | 2024-Dec-14 19:23 |
knxd-0.14.61-r1.apk | 350.1 KiB | 2024-Dec-14 19:23 |
pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-Dec-14 19:23 |
pebble-le-dev-0.3.0-r2.apk | 41.3 KiB | 2024-Dec-14 19:23 |
libsemigroups-2.7.3-r1.apk | 558.3 KiB | 2024-Dec-14 19:23 |
knxd-dev-0.14.61-r1.apk | 22.5 KiB | 2024-Dec-14 19:23 |
ntpd-rs-1.4.0-r0.apk | 2.1 MiB | 2024-Dec-14 19:23 |
libsemigroups-static-2.7.3-r1.apk | 1.3 MiB | 2024-Dec-14 19:23 |
restinio-0.6.19-r1.apk | 1.2 KiB | 2024-Dec-14 19:23 |
ntpd-rs-doc-1.4.0-r0.apk | 22.7 KiB | 2024-Dec-14 19:23 |
jwt-cli-6.2.0-r0.apk | 751.4 KiB | 2024-Dec-14 18:18 |
mdcat-fish-completion-2.7.1-r0.apk | 2.1 KiB | 2024-Dec-14 18:04 |
mdcat-doc-2.7.1-r0.apk | 6.0 KiB | 2024-Dec-14 18:04 |
mdcat-2.7.1-r0.apk | 2.8 MiB | 2024-Dec-14 18:04 |
mdcat-bash-completion-2.7.1-r0.apk | 2.2 KiB | 2024-Dec-14 18:04 |
mdcat-zsh-completion-2.7.1-r0.apk | 2.4 KiB | 2024-Dec-14 18:04 |
py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-Dec-13 04:57 |
py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-Dec-13 04:57 |
code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-Dec-12 19:34 |
code-minimap-0.6.7-r0.apk | 316.2 KiB | 2024-Dec-12 19:34 |
sfwbar-doc-1.0_beta16-r1.apk | 26.4 KiB | 2024-Dec-12 19:02 |
sfwbar-1.0_beta16-r1.apk | 252.5 KiB | 2024-Dec-12 19:02 |
copyq-9.1.0-r1.apk | 2.4 MiB | 2024-Dec-12 17:44 |
copyq-doc-9.1.0-r1.apk | 3.4 KiB | 2024-Dec-12 17:44 |
copyq-bash-completion-9.1.0-r1.apk | 2.2 KiB | 2024-Dec-12 17:44 |
libantlr4-dev-4.13.2-r0.apk | 818.2 KiB | 2024-Dec-12 12:29 |
libantlr4-4.13.2-r0.apk | 368.0 KiB | 2024-Dec-12 12:29 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-Dec-12 06:32 |
py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-Dec-12 06:32 |
py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-Dec-11 21:35 |
py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-Dec-11 21:35 |
openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-Dec-11 21:31 |
openfortivpn-1.22.1-r0.apk | 38.2 KiB | 2024-Dec-11 21:31 |
perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-Dec-11 16:22 |
perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-Dec-11 16:22 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 19.0 KiB | 2024-Dec-10 19:01 |
qt6ct-0.9-r3.apk | 182.1 KiB | 2024-Dec-09 19:40 |
meson-tools-doc-0.1-r2.apk | 8.3 KiB | 2024-Dec-09 16:38 |
meson-tools-0.1-r2.apk | 7.5 KiB | 2024-Dec-09 16:38 |
planner-0.14.92-r1.apk | 313.2 KiB | 2024-Dec-08 21:36 |
py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-Dec-08 21:36 |
planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-Dec-08 21:36 |
getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-Dec-08 21:36 |
endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-Dec-08 21:36 |
planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-Dec-08 21:36 |
py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-Dec-08 21:36 |
endeavour-43.0-r2.apk | 169.5 KiB | 2024-Dec-08 21:36 |
getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-Dec-08 21:36 |
endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-Dec-08 21:36 |
getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-Dec-08 21:36 |
endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-Dec-08 21:36 |
ocaml-menhir-doc-20220210-r3.apk | 609.8 KiB | 2024-Dec-08 16:19 |
ocaml-menhir-20220210-r3.apk | 1.3 MiB | 2024-Dec-08 16:19 |
ocaml-menhir-dev-20220210-r3.apk | 898.1 KiB | 2024-Dec-08 16:19 |
py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-Dec-07 21:51 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-Dec-07 21:51 |
py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-Dec-07 21:14 |
py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-Dec-07 21:14 |
py3-gtkspellcheck-pyc-5.0.3-r0.apk | 29.6 KiB | 2024-Dec-07 20:32 |
py3-gtkspellcheck-5.0.3-r0.apk | 44.7 KiB | 2024-Dec-07 20:32 |
dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-Dec-07 20:22 |
dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-Dec-07 20:22 |
py3-sqlmodel-pyc-0.0.22-r1.apk | 41.2 KiB | 2024-Dec-06 23:03 |
py3-sqlmodel-0.0.22-r1.apk | 26.3 KiB | 2024-Dec-06 23:03 |
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk | 16.4 KiB | 2024-Dec-06 22:57 |
py3-sphinxcontrib-doxylink-1.12.3-r0.apk | 12.2 KiB | 2024-Dec-06 22:57 |
py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-Dec-06 22:57 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-Dec-06 22:57 |
drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-Dec-04 17:28 |
drupal7-7.103-r0.apk | 3.3 MiB | 2024-Dec-04 17:28 |
php81-pecl-pcov-1.0.12-r0.apk | 8.6 KiB | 2024-Dec-04 17:17 |
elementary-photos-8.0.1-r0.apk | 1.0 MiB | 2024-Dec-02 22:59 |
elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2024-Dec-02 22:59 |
py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-Dec-02 22:54 |
py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-Dec-02 22:54 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-Dec-01 20:13 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-Dec-01 20:13 |
py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-Dec-01 20:08 |
py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-Dec-01 20:08 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-Dec-01 17:24 |
py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-Dec-01 17:24 |
tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-Dec-01 17:09 |
tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-Dec-01 17:09 |
tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-Dec-01 17:09 |
gupnp-dlna-0.12.0-r0.apk | 58.6 KiB | 2024-Dec-01 12:57 |
gssdp-1.6.3-r0.apk | 41.1 KiB | 2024-Dec-01 12:57 |
gupnp-dlna-dev-0.12.0-r0.apk | 24.3 KiB | 2024-Dec-01 12:57 |
gssdp-dev-1.6.3-r0.apk | 15.5 KiB | 2024-Dec-01 12:57 |
py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-Dec-01 02:04 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-Dec-01 02:04 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 21:08 |
py3-json5-pyc-0.9.25-r0.apk | 29.4 KiB | 2024-Nov-30 21:08 |
py3-json5-0.9.25-r0.apk | 25.0 KiB | 2024-Nov-30 21:08 |
py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 21:08 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-Nov-30 20:42 |
py3-itemadapter-0.10.0-r0.apk | 11.3 KiB | 2024-Nov-30 20:42 |
py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-Nov-30 20:18 |
py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-Nov-30 20:18 |
py3-manuel-1.13.0-r0.apk | 39.1 KiB | 2024-Nov-30 20:13 |
py3-manuel-pyc-1.13.0-r0.apk | 25.6 KiB | 2024-Nov-30 20:13 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.8 KiB | 2024-Nov-29 22:03 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-Nov-29 22:03 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-Nov-29 22:03 |
tuptime-5.2.4-r1.apk | 13.8 KiB | 2024-Nov-28 22:44 |
tuptime-doc-5.2.4-r1.apk | 3.7 KiB | 2024-Nov-28 22:44 |
tuptime-openrc-5.2.4-r1.apk | 1.7 KiB | 2024-Nov-28 22:44 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-Nov-27 23:06 |
certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-Nov-27 23:06 |
gmid-doc-2.1.1-r0.apk | 14.4 KiB | 2024-Nov-27 19:26 |
gmid-openrc-2.1.1-r0.apk | 2.2 KiB | 2024-Nov-27 19:26 |
gmid-2.1.1-r0.apk | 189.7 KiB | 2024-Nov-27 19:26 |
git-graph-0.6.0-r0.apk | 751.6 KiB | 2024-Nov-25 23:38 |
git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-Nov-25 23:38 |
py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-Nov-25 22:22 |
py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-Nov-25 22:22 |
atac-0.18.1-r0.apk | 4.3 MiB | 2024-Nov-25 21:43 |
ovos-gui-pyc-1.1.0-r0.apk | 38.4 KiB | 2024-Nov-25 12:41 |
py3-ovos-utils-pyc-0.5.4-r0.apk | 123.9 KiB | 2024-Nov-25 12:41 |
ovos-gui-1.1.0-r0.apk | 30.7 KiB | 2024-Nov-25 12:41 |
py3-ovos-utils-0.5.4-r0.apk | 68.8 KiB | 2024-Nov-25 12:41 |
netstandard21-targeting-pack-6.0.136-r1.apk | 1.9 MiB | 2024-Nov-25 05:20 |
dotnet6-templates-6.0.136-r1.apk | 5.8 MiB | 2024-Nov-25 05:20 |
dotnet6-targeting-pack-6.0.36-r1.apk | 3.1 MiB | 2024-Nov-25 05:20 |
dotnet6-sdk-6.0.136-r1.apk | 102.0 MiB | 2024-Nov-25 05:20 |
dotnet6-build-6.0.136-r1.apk | 1.0 GiB | 2024-Nov-25 05:20 |
dotnet6-hostfxr-6.0.36-r1.apk | 129.5 KiB | 2024-Nov-25 05:20 |
dotnet6-runtime-6.0.36-r1.apk | 26.2 MiB | 2024-Nov-25 05:20 |
dotnet6-artifacts-6.0.136-r1.apk | 438.4 MiB | 2024-Nov-25 05:19 |
dotnet6-apphost-pack-6.0.36-r1.apk | 3.7 MiB | 2024-Nov-25 05:19 |
dotnet-doc-6.0.136-r1.apk | 110.5 KiB | 2024-Nov-25 05:19 |
aspnetcore6-runtime-6.0.36-r1.apk | 8.6 MiB | 2024-Nov-25 05:19 |
aspnetcore6-targeting-pack-6.0.36-r1.apk | 2.0 MiB | 2024-Nov-25 05:19 |
dotnet-zsh-completion-6.0.136-r1.apk | 1.7 KiB | 2024-Nov-25 05:19 |
dotnet-host-6.0.36-r1.apk | 29.7 KiB | 2024-Nov-25 05:19 |
dotnet-bash-completion-6.0.136-r1.apk | 1.8 KiB | 2024-Nov-25 05:19 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 62.7 KiB | 2024-Nov-24 17:25 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 62.8 KiB | 2024-Nov-24 17:25 |
qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-Nov-24 05:46 |
predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-Nov-24 05:46 |
wlroots0.17-dbg-0.17.4-r1.apk | 1.5 MiB | 2024-Nov-24 05:46 |
wlroots0.17-dev-0.17.4-r1.apk | 77.4 KiB | 2024-Nov-24 05:46 |
qstardict-2.0.2-r1.apk | 429.8 KiB | 2024-Nov-24 05:46 |
wlroots0.17-0.17.4-r1.apk | 326.2 KiB | 2024-Nov-24 05:46 |
predict-2.3.1-r0.apk | 84.8 KiB | 2024-Nov-24 05:46 |
py3-dateparser-pyc-1.2.0-r0.apk | 333.7 KiB | 2024-Nov-23 04:07 |
py3-dateparser-1.2.0-r0.apk | 196.6 KiB | 2024-Nov-23 04:07 |
paprefs-1.2-r2.apk | 27.9 KiB | 2024-Nov-22 22:07 |
paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-Nov-22 22:07 |
py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-Nov-21 13:31 |
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk | 11.2 KiB | 2024-Nov-21 13:31 |
ovos-audio-pyc-0.3.1-r0.apk | 36.0 KiB | 2024-Nov-21 13:31 |
ovos-phal-0.2.7-r0.apk | 10.3 KiB | 2024-Nov-21 13:31 |
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> | 8.6 KiB | 2024-Nov-21 13:31 |
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> | 11.2 KiB | 2024-Nov-21 13:31 |
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 95.1 KiB | 2024-Nov-21 13:31 |
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.7 KiB | 2024-Nov-21 13:31 |
py3-ovos-phal-plugin-connectivity-events-pyc-0...> | 5.0 KiB | 2024-Nov-21 13:31 |
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.9 KiB | 2024-Nov-21 13:31 |
ovos-audio-0.3.1-r0.apk | 135.6 KiB | 2024-Nov-21 13:31 |
ovos-phal-pyc-0.2.7-r0.apk | 7.2 KiB | 2024-Nov-21 13:31 |
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk | 12.0 KiB | 2024-Nov-21 13:31 |
smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-Nov-21 02:56 |
smassh-3.1.6-r0.apk | 72.4 KiB | 2024-Nov-21 02:56 |
neko-doc-2.3.0-r0.apk | 19.9 KiB | 2024-Nov-21 01:04 |
neko-2.3.0-r0.apk | 421.2 KiB | 2024-Nov-21 01:04 |
neko-dev-2.3.0-r0.apk | 10.4 KiB | 2024-Nov-21 01:04 |
py3-requests-cache-1.2.1-r1.apk | 49.9 KiB | 2024-Nov-20 02:43 |
randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-Nov-20 02:43 |
py3-requests-cache-pyc-1.2.1-r1.apk | 94.2 KiB | 2024-Nov-20 02:43 |
srain-lang-1.8.0-r0.apk | 35.1 KiB | 2024-Nov-20 02:43 |
srain-1.8.0-r0.apk | 144.5 KiB | 2024-Nov-20 02:43 |
randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-Nov-20 02:43 |
gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-Nov-20 02:43 |
linuxptp-4.4-r0.apk | 1.2 KiB | 2024-Nov-20 02:43 |
gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-Nov-20 02:43 |
linuxptp-timemaster-4.4-r0.apk | 14.2 KiB | 2024-Nov-20 02:43 |
linuxptp-ptp4l-4.4-r0.apk | 72.7 KiB | 2024-Nov-20 02:43 |
perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-Nov-20 02:43 |
linuxptp-pmc-4.4-r0.apk | 32.9 KiB | 2024-Nov-20 02:43 |
git-extras-doc-7.3.0-r0.apk | 63.3 KiB | 2024-Nov-20 02:43 |
git-extras-7.3.0-r0.apk | 55.4 KiB | 2024-Nov-20 02:43 |
gufw-24.04-r3.apk | 596.5 KiB | 2024-Nov-20 02:43 |
perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-Nov-20 02:43 |
fplll-static-5.5.0-r0.apk | 7.6 MiB | 2024-Nov-20 02:43 |
gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-Nov-20 02:43 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4.2 KiB | 2024-Nov-20 02:43 |
linuxptp-phc2sys-4.4-r0.apk | 35.4 KiB | 2024-Nov-20 02:43 |
fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-Nov-20 02:43 |
linuxptp-ts2phc-4.4-r0.apk | 34.6 KiB | 2024-Nov-20 02:43 |
libbraiding-dev-1.3.1-r0.apk | 15.1 KiB | 2024-Nov-20 02:43 |
linuxptp-nsm-4.4-r0.apk | 30.9 KiB | 2024-Nov-20 02:43 |
libbraiding-1.3.1-r0.apk | 73.7 KiB | 2024-Nov-20 02:43 |
git-extras-bash-completion-7.3.0-r0.apk | 2.8 KiB | 2024-Nov-20 02:43 |
linuxptp-phc_ctl-4.4-r0.apk | 10.1 KiB | 2024-Nov-20 02:43 |
linuxptp-tz2alt-4.4-r0.apk | 18.4 KiB | 2024-Nov-20 02:43 |
linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-Nov-20 02:43 |
apk-autoupdate-0_git20210421-r1.apk | 12.7 KiB | 2024-Nov-20 02:43 |
fplll-dev-5.5.0-r0.apk | 78.0 KiB | 2024-Nov-20 02:43 |
fplll-libs-5.5.0-r0.apk | 6.0 MiB | 2024-Nov-20 02:43 |
fplll-5.5.0-r0.apk | 42.7 KiB | 2024-Nov-20 02:43 |
apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-Nov-20 02:43 |
py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-Nov-14 13:10 |
nvim-lualine-0.0.0_git20241101-r0.apk | 59.5 KiB | 2024-Nov-13 23:16 |
nvim-lualine-doc-0.0.0_git20241101-r0.apk | 30.6 KiB | 2024-Nov-13 23:16 |
py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-Nov-13 18:52 |
py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-Nov-13 18:52 |
py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-Nov-13 06:28 |
py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-Nov-13 06:28 |
appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-Nov-12 21:55 |
appcenter-8.0.0-r0.apk | 382.0 KiB | 2024-Nov-12 21:55 |
contractor-0.3.5-r0.apk | 22.6 KiB | 2024-Nov-12 21:54 |
crun-vm-0.3.0-r0.apk | 1005.8 KiB | 2024-Nov-12 11:34 |
crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-Nov-12 11:34 |
py3-xapp-2.4.2-r0.apk | 33.6 KiB | 2024-Nov-12 11:04 |
game-devices-udev-0.23-r0.apk | 6.2 KiB | 2024-Nov-12 10:58 |
py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-Nov-11 21:46 |
py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-Nov-11 21:46 |
py3-marisa-trie-1.2.1-r0.apk | 125.3 KiB | 2024-Nov-11 13:12 |
grcov-0.8.20-r0.apk | 1.7 MiB | 2024-Nov-11 10:03 |
nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10.3 KiB | 2024-Nov-11 04:07 |
nvim-plenary-0.1.4_git20240917-r0.apk | 102.0 KiB | 2024-Nov-11 04:07 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7.1 KiB | 2024-Nov-11 03:57 |
nvim-web-devicons-0.100_git20241011-r0.apk | 26.8 KiB | 2024-Nov-11 03:57 |
i3status-rust-doc-0.33.2-r0.apk | 33.5 KiB | 2024-Nov-11 03:21 |
i3status-rust-0.33.2-r0.apk | 4.1 MiB | 2024-Nov-11 03:21 |
php81-pecl-xlswriter-1.5.8-r0.apk | 201.1 KiB | 2024-Nov-11 01:44 |
elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-Nov-10 22:07 |
elementary-videos-8.0.1-r0.apk | 102.4 KiB | 2024-Nov-10 22:06 |
elementary-videos-lang-8.0.1-r0.apk | 85.5 KiB | 2024-Nov-10 22:06 |
droidcam-gui-2.1.3-r1.apk | 25.9 KiB | 2024-Nov-08 21:25 |
droidcam-2.1.3-r1.apk | 17.0 KiB | 2024-Nov-08 21:25 |
hexer-1.4.0-r16.apk | 53.0 KiB | 2024-Nov-08 00:05 |
hexer-dev-1.4.0-r16.apk | 7.3 KiB | 2024-Nov-08 00:05 |
neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-Nov-07 05:39 |
neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-Nov-07 05:39 |
php81-pecl-zstd-0.14.0-r0.apk | 12.5 KiB | 2024-Nov-06 14:44 |
plots-0.7.0-r1.apk | 515.7 KiB | 2024-Nov-06 09:41 |
py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.4 KiB | 2024-Nov-06 09:41 |
py3-unicorn-hat-2.1.2-r6.apk | 15.6 KiB | 2024-Nov-06 09:41 |
py3-zimscraperlib-3.4.0-r0.apk | 51.6 KiB | 2024-Nov-06 09:41 |
py3-pyglm-2.7.3-r0.apk | 1.4 MiB | 2024-Nov-06 09:41 |
beancount-language-server-1.3.6-r0.apk | 1.1 MiB | 2024-Nov-05 23:38 |
py3-pdal-pyc-3.4.5-r0.apk | 13.0 KiB | 2024-Nov-05 21:52 |
py3-pdal-3.4.5-r0.apk | 155.5 KiB | 2024-Nov-05 21:52 |
e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-Nov-05 13:22 |
e16-doc-1.0.30-r0.apk | 27.2 KiB | 2024-Nov-05 13:22 |
e16-1.0.30-r0.apk | 740.2 KiB | 2024-Nov-05 13:22 |
php81-pecl-rdkafka-6.0.5-r0.apk | 33.6 KiB | 2024-Nov-04 12:51 |
py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-Nov-04 12:28 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-Nov-04 12:28 |
avara-0.7.1-r1.apk | 21.3 MiB | 2024-Nov-04 08:52 |
flawz-0.3.0-r0.apk | 1.1 MiB | 2024-Nov-03 21:06 |
flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-Nov-03 21:06 |
flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-Nov-03 21:06 |
flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-Nov-03 21:06 |
flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-Nov-03 21:06 |
spiritvnc-0.6.5-r0.apk | 46.5 KiB | 2024-Nov-03 05:09 |
librespot-0.6.0-r0.apk | 2.5 MiB | 2024-Nov-02 00:48 |
librespot-openrc-0.6.0-r0.apk | 1.8 KiB | 2024-Nov-02 00:48 |
py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-Nov-01 15:13 |
py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-Nov-01 15:13 |
ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-Oct-30 22:44 |
idevicerestore-doc-1.0.0-r4.apk | 2.5 KiB | 2024-Oct-30 22:44 |
libirecovery-dev-1.2.1-r0.apk | 4.1 KiB | 2024-Oct-30 22:44 |
ifuse-1.1.4-r5.apk | 9.4 KiB | 2024-Oct-30 22:44 |
idevicerestore-1.0.0-r4.apk | 76.6 KiB | 2024-Oct-30 22:44 |
libirecovery-progs-1.2.1-r0.apk | 7.8 KiB | 2024-Oct-30 22:44 |
ideviceinstaller-1.1.1-r4.apk | 14.0 KiB | 2024-Oct-30 22:44 |
libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-Oct-30 22:44 |
libideviceactivation-1.1.1-r5.apk | 15.1 KiB | 2024-Oct-30 22:44 |
libirecovery-1.2.1-r0.apk | 23.1 KiB | 2024-Oct-30 22:44 |
libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-Oct-30 22:44 |
ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-Oct-30 22:44 |
evolution-on-3.24.4-r0.apk | 9.9 KiB | 2024-Oct-30 14:24 |
perl-snmp-info-3.972002-r0.apk | 332.7 KiB | 2024-Oct-30 05:59 |
perl-snmp-info-doc-3.972002-r0.apk | 378.9 KiB | 2024-Oct-30 05:59 |
elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-Oct-28 22:05 |
elementary-music-8.0.0-r0.apk | 69.8 KiB | 2024-Oct-28 22:05 |
elementary-calculator-8.0.0-r0.apk | 67.1 KiB | 2024-Oct-28 22:05 |
elementary-calculator-lang-8.0.0-r0.apk | 57.3 KiB | 2024-Oct-28 22:05 |
py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-Oct-28 21:51 |
py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-Oct-28 21:51 |
wlroots0.16-dev-0.16.2-r0.apk | 70.6 KiB | 2024-Oct-28 13:47 |
wlroots0.16-dbg-0.16.2-r0.apk | 1.3 MiB | 2024-Oct-28 13:47 |
wlroots0.16-0.16.2-r0.apk | 295.3 KiB | 2024-Oct-28 13:47 |
php84-pecl-uv-0.3.0-r0.apk | 48.3 KiB | 2024-Oct-28 12:47 |
rust-script-0.35.0-r0.apk | 828.2 KiB | 2024-Oct-27 16:30 |
zycore-dev-1.5.0-r0.apk | 38.4 KiB | 2024-Oct-25 19:09 |
zydis-doc-4.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 19:09 |
zydis-4.1.0-r0.apk | 202.9 KiB | 2024-Oct-25 19:09 |
zsh-fzf-tab-0_git20220331-r1.apk | 16.1 KiB | 2024-Oct-25 19:09 |
zsh-histdb-skim-0.8.6-r0.apk | 669.6 KiB | 2024-Oct-25 19:09 |
zita-njbridge-0.4.8-r1.apk | 23.9 KiB | 2024-Oct-25 19:09 |
zycore-doc-1.5.0-r0.apk | 394.1 KiB | 2024-Oct-25 19:09 |
zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-Oct-25 19:09 |
zydis-dev-4.1.0-r0.apk | 60.8 KiB | 2024-Oct-25 19:09 |
zile-2.6.2-r1.apk | 100.7 KiB | 2024-Oct-25 19:09 |
zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-Oct-25 19:09 |
zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-Oct-25 19:09 |
zycore-1.5.0-r0.apk | 18.0 KiB | 2024-Oct-25 19:09 |
zsh-manydots-magic-0_git20230607-r1.apk | 2.8 KiB | 2024-Oct-25 19:09 |
zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-Oct-25 19:09 |
zapret-doc-0.0.0_git20220125-r1.apk | 98.5 KiB | 2024-Oct-25 19:09 |
z-doc-1.12-r0.apk | 3.9 KiB | 2024-Oct-25 19:09 |
z-1.12-r0.apk | 4.6 KiB | 2024-Oct-25 19:09 |
yosys-dev-0.42-r0.apk | 118.7 KiB | 2024-Oct-25 19:09 |
zapret-0.0.0_git20220125-r1.apk | 70.8 KiB | 2024-Oct-25 19:09 |
zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-Oct-25 19:09 |
zarchive-libs-0.1.2-r2.apk | 20.3 KiB | 2024-Oct-25 19:09 |
zapret-openrc-0.0.0_git20220125-r1.apk | 2.1 KiB | 2024-Oct-25 19:09 |
zarchive-dev-0.1.2-r2.apk | 6.7 KiB | 2024-Oct-25 19:09 |
zarchive-0.1.2-r2.apk | 14.2 KiB | 2024-Oct-25 19:09 |
yosys-0.42-r0.apk | 16.4 MiB | 2024-Oct-25 19:09 |
ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-Oct-25 19:09 |
ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-Oct-25 19:09 |
yodl-4.02.00-r1.apk | 124.0 KiB | 2024-Oct-25 19:09 |
yodl-doc-4.02.00-r1.apk | 59.0 KiB | 2024-Oct-25 19:09 |
ydcv-0.7-r8.apk | 20.0 KiB | 2024-Oct-25 19:09 |
xmag-1.0.8-r0.apk | 14.8 KiB | 2024-Oct-25 19:09 |
xsane-0.999-r2.apk | 1.5 MiB | 2024-Oct-25 19:09 |
xone-src-0.3_git20230517-r0.apk | 43.4 KiB | 2024-Oct-25 19:09 |
xosview-1.24-r0.apk | 104.5 KiB | 2024-Oct-25 19:09 |
xendmail-0.4.3-r0.apk | 779.2 KiB | 2024-Oct-25 19:09 |
xvidtune-doc-1.0.4-r0.apk | 4.2 KiB | 2024-Oct-25 19:09 |
x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-Oct-25 19:09 |
x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-Oct-25 19:09 |
xload-1.1.4-r0.apk | 6.0 KiB | 2024-Oct-25 19:09 |
xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-Oct-25 19:09 |
xwaylandvideobridge-lang-0.4.0-r1.apk | 11.0 KiB | 2024-Oct-25 19:09 |
xtl-0.7.7-r0.apk | 110.5 KiB | 2024-Oct-25 19:09 |
xfce4-panel-profiles-doc-1.0.14-r1.apk | 19.4 KiB | 2024-Oct-25 19:09 |
yamkix-0.10.0-r1.apk | 14.2 KiB | 2024-Oct-25 19:09 |
xsecurelock-doc-1.9.0-r1.apk | 17.8 KiB | 2024-Oct-25 19:09 |
xfce4-panel-profiles-lang-1.0.14-r1.apk | 44.3 KiB | 2024-Oct-25 19:09 |
xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-Oct-25 19:09 |
xfd-1.1.4-r0.apk | 12.3 KiB | 2024-Oct-25 19:09 |
xiccd-0.3.0_git20211219-r1.apk | 14.0 KiB | 2024-Oct-25 19:09 |
xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-Oct-25 19:09 |
xsecurelock-1.9.0-r1.apk | 57.6 KiB | 2024-Oct-25 19:09 |
xload-doc-1.1.4-r0.apk | 3.2 KiB | 2024-Oct-25 19:09 |
xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-Oct-25 19:09 |
xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-Oct-25 19:09 |
xvkbd-doc-4.1-r2.apk | 10.8 KiB | 2024-Oct-25 19:09 |
xisxwayland-2-r1.apk | 3.8 KiB | 2024-Oct-25 19:09 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3.3 KiB | 2024-Oct-25 19:09 |
xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-Oct-25 19:09 |
xsoldier-1.8-r2.apk | 65.5 KiB | 2024-Oct-25 19:09 |
xdg-ninja-0.2.0.2-r0.apk | 70.4 KiB | 2024-Oct-25 19:09 |
yamkix-pyc-0.10.0-r1.apk | 11.8 KiB | 2024-Oct-25 19:09 |
xfce4-panel-profiles-1.0.14-r1.apk | 56.5 KiB | 2024-Oct-25 19:09 |
xfce4-mixer-4.18.1-r2.apk | 77.6 KiB | 2024-Oct-25 19:09 |
xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-Oct-25 19:09 |
xgalaga-doc-2.1.1.0-r1.apk | 2.5 KiB | 2024-Oct-25 19:09 |
xlhtml-0.5.1-r0.apk | 9.8 KiB | 2024-Oct-25 19:09 |
xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-Oct-25 19:09 |
xva-img-1.5-r0.apk | 15.4 KiB | 2024-Oct-25 19:09 |
xfce4-hamster-plugin-1.17-r0.apk | 29.6 KiB | 2024-Oct-25 19:09 |
xendmail-doc-0.4.3-r0.apk | 2.5 KiB | 2024-Oct-25 19:09 |
xisxwayland-doc-2-r1.apk | 2.0 KiB | 2024-Oct-25 19:09 |
xvkbd-4.1-r2.apk | 290.7 KiB | 2024-Oct-25 19:09 |
xcompmgr-doc-1.1.9-r0.apk | 2.6 KiB | 2024-Oct-25 19:09 |
xmppipe-0.16.0-r1.apk | 14.6 KiB | 2024-Oct-25 19:09 |
xwaylandvideobridge-0.4.0-r1.apk | 42.9 KiB | 2024-Oct-25 19:09 |
xlhtml-doc-0.5.1-r0.apk | 2.5 KiB | 2024-Oct-25 19:09 |
xmp-4.2.0-r0.apk | 20.5 KiB | 2024-Oct-25 19:09 |
xvidtune-1.0.4-r0.apk | 15.5 KiB | 2024-Oct-25 19:09 |
xcompmgr-1.1.9-r0.apk | 13.8 KiB | 2024-Oct-25 19:09 |
xgalaga-2.1.1.0-r1.apk | 269.3 KiB | 2024-Oct-25 19:09 |
xfce4-mixer-lang-4.18.1-r2.apk | 58.7 KiB | 2024-Oct-25 19:09 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2024-Oct-25 19:09 |
wroomd-0.1.0-r0.apk | 898.4 KiB | 2024-Oct-25 19:09 |
wmctrl-1.07-r1.apk | 12.9 KiB | 2024-Oct-25 19:09 |
wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-Oct-25 19:09 |
wlroots0.12-dbg-0.12.0-r1.apk | 1010.7 KiB | 2024-Oct-25 19:09 |
wok-pyc-3.0.0-r6.apk | 118.7 KiB | 2024-Oct-25 19:09 |
wlroots0.12-0.12.0-r1.apk | 195.1 KiB | 2024-Oct-25 19:09 |
wlroots0.15-dbg-0.15.1-r6.apk | 1.2 MiB | 2024-Oct-25 19:09 |
wmi-client-1.3.16-r5.apk | 2.1 MiB | 2024-Oct-25 19:09 |
wpa_actiond-1.4-r7.apk | 8.7 KiB | 2024-Oct-25 19:09 |
wolfssh-dev-1.4.17-r0.apk | 162.1 KiB | 2024-Oct-25 19:09 |
wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2024-Oct-25 19:09 |
wolfssh-1.4.17-r0.apk | 119.9 KiB | 2024-Oct-25 19:09 |
wol-0.7.1-r3.apk | 22.4 KiB | 2024-Oct-25 19:09 |
wput-0.6.2-r4.apk | 35.4 KiB | 2024-Oct-25 19:09 |
wok-3.0.0-r6.apk | 156.6 KiB | 2024-Oct-25 19:09 |
wsmancli-doc-2.6.2-r0.apk | 3.7 KiB | 2024-Oct-25 19:09 |
wsmancli-2.6.2-r0.apk | 19.4 KiB | 2024-Oct-25 19:09 |
wshowkeys-1.0-r0.apk | 11.6 KiB | 2024-Oct-25 19:09 |
wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-Oct-25 19:09 |
wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-Oct-25 19:09 |
wlroots0.15-0.15.1-r6.apk | 244.3 KiB | 2024-Oct-25 19:09 |
wok-doc-3.0.0-r6.apk | 3.7 KiB | 2024-Oct-25 19:09 |
wlroots0.15-dev-0.15.1-r6.apk | 70.4 KiB | 2024-Oct-25 19:09 |
wlroots0.12-dev-0.12.0-r1.apk | 60.7 KiB | 2024-Oct-25 19:09 |
wroomd-openrc-0.1.0-r0.apk | 1.7 KiB | 2024-Oct-25 19:09 |
wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-Oct-25 19:09 |
wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-Oct-25 19:09 |
wlavu-0_git20201101-r1.apk | 10.7 KiB | 2024-Oct-25 19:09 |
waynergy-0.0.17-r0.apk | 42.4 KiB | 2024-Oct-25 19:09 |
wiremapper-0.10.0-r0.apk | 21.7 KiB | 2024-Oct-25 19:09 |
vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-Oct-25 19:09 |
walk-sor-0_git20190920-r1.apk | 5.0 KiB | 2024-Oct-25 19:09 |
wch-isp-0.4.1-r2.apk | 9.8 KiB | 2024-Oct-25 19:09 |
watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-Oct-25 19:09 |
wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-Oct-25 19:09 |
weggli-0.2.4-r1.apk | 746.9 KiB | 2024-Oct-25 19:09 |
wk-adblock-doc-0.0.4-r5.apk | 2.1 KiB | 2024-Oct-25 19:09 |
vym-2.9.26-r0.apk | 2.7 MiB | 2024-Oct-25 19:09 |
wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-Oct-25 19:09 |
wiki-tui-0.8.2-r1.apk | 1.4 MiB | 2024-Oct-25 19:09 |
wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-Oct-25 19:09 |
watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-Oct-25 19:09 |
wk-adblock-0.0.4-r5.apk | 145.9 KiB | 2024-Oct-25 19:09 |
watershot-0.2.0-r0.apk | 1.4 MiB | 2024-Oct-25 19:09 |
witchery-0.0.3-r2.apk | 3.2 KiB | 2024-Oct-25 19:09 |
wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-Oct-25 19:09 |
walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-Oct-25 19:09 |
waylevel-1.0.0-r1.apk | 259.8 KiB | 2024-Oct-25 19:09 |
wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-Oct-25 19:09 |
wlclock-1.0.1-r0.apk | 14.4 KiB | 2024-Oct-25 19:09 |
wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-Oct-25 19:09 |
wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2024-Oct-25 19:09 |
watchdog-5.16-r2.apk | 37.9 KiB | 2024-Oct-25 19:09 |
wiki-tui-doc-0.8.2-r1.apk | 4.6 KiB | 2024-Oct-25 19:09 |
watchbind-0.2.1-r1.apk | 997.5 KiB | 2024-Oct-25 19:09 |
varnish-modules-doc-0.24.0-r0.apk | 21.3 KiB | 2024-Oct-25 19:09 |
video-trimmer-0.9.0-r0.apk | 710.6 KiB | 2024-Oct-25 19:09 |
vixl-7.0.0-r0.apk | 290.0 KiB | 2024-Oct-25 19:09 |
vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-Oct-25 19:09 |
vit-pyc-2.3.2-r1.apk | 151.5 KiB | 2024-Oct-25 19:09 |
vcstool-pyc-0.3.0-r5.apk | 57.7 KiB | 2024-Oct-25 19:09 |
vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-Oct-25 19:09 |
vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-Oct-25 19:09 |
vectoroids-1.1.0-r2.apk | 280.8 KiB | 2024-Oct-25 19:09 |
varnish-modules-0.24.0-r0.apk | 38.6 KiB | 2024-Oct-25 19:09 |
video-trimmer-lang-0.9.0-r0.apk | 90.9 KiB | 2024-Oct-25 19:09 |
vcstool-bash-completion-0.3.0-r5.apk | 1.8 KiB | 2024-Oct-25 19:09 |
volumeicon-lang-0.5.1-r1.apk | 3.8 KiB | 2024-Oct-25 19:09 |
vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 19:09 |
volumeicon-0.5.1-r1.apk | 39.5 KiB | 2024-Oct-25 19:09 |
voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-Oct-25 19:09 |
vixl-dev-7.0.0-r0.apk | 114.6 KiB | 2024-Oct-25 19:09 |
vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 19:09 |
vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-Oct-25 19:09 |
vkbasalt-0.3.2.10-r0.apk | 344.1 KiB | 2024-Oct-25 19:09 |
vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-Oct-25 19:09 |
viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-Oct-25 19:09 |
vmtouch-doc-1.3.1-r0.apk | 8.0 KiB | 2024-Oct-25 19:09 |
vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-Oct-25 19:09 |
viewnior-1.8-r1.apk | 67.3 KiB | 2024-Oct-25 19:09 |
vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2024-Oct-25 19:09 |
vit-2.3.2-r1.apk | 80.5 KiB | 2024-Oct-25 19:09 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-Oct-25 19:09 |
vim-rust-305-r0.apk | 20.1 KiB | 2024-Oct-25 19:09 |
vidcutter-doc-6.0.5.3-r0.apk | 24.2 KiB | 2024-Oct-25 19:09 |
vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-Oct-25 19:09 |
viewnior-lang-1.8-r1.apk | 85.1 KiB | 2024-Oct-25 19:09 |
vmtouch-1.3.1-r0.apk | 12.3 KiB | 2024-Oct-25 19:09 |
vim-airline-0.11-r0.apk | 86.4 KiB | 2024-Oct-25 19:09 |
vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-Oct-25 19:09 |
vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-Oct-25 19:09 |
vera++-1.3.0-r10.apk | 231.5 KiB | 2024-Oct-25 19:09 |
utop-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 19:09 |
uucp-1.07-r6.apk | 420.1 KiB | 2024-Oct-25 19:09 |
ustr-dev-1.0.4-r1.apk | 91.5 KiB | 2024-Oct-25 19:09 |
uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-Oct-25 19:09 |
ustr-debug-1.0.4-r1.apk | 60.5 KiB | 2024-Oct-25 19:09 |
urlwatch-pyc-2.28-r2.apk | 101.4 KiB | 2024-Oct-25 19:09 |
utop-emacs-2.9.1-r4.apk | 12.8 KiB | 2024-Oct-25 19:09 |
utop-common-2.9.1-r4.apk | 2.0 KiB | 2024-Oct-25 19:09 |
uxn-1.0-r0.apk | 32.4 KiB | 2024-Oct-25 19:09 |
ustr-doc-1.0.4-r1.apk | 96.6 KiB | 2024-Oct-25 19:09 |
urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-Oct-25 19:09 |
urlwatch-2.28-r2.apk | 48.7 KiB | 2024-Oct-25 19:09 |
ustr-static-1.0.4-r1.apk | 125.8 KiB | 2024-Oct-25 19:09 |
ustr-1.0.4-r1.apk | 43.8 KiB | 2024-Oct-25 19:09 |
uranium-5.2.2-r3.apk | 595.5 KiB | 2024-Oct-25 19:09 |
utop-full-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 19:09 |
uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-Oct-25 19:09 |
utop-doc-2.9.1-r4.apk | 5.7 KiB | 2024-Oct-25 19:09 |
tty-clock-2.3_git20240104-r0.apk | 7.8 KiB | 2024-Oct-25 19:09 |
turnstile-0.1.10-r3.apk | 35.8 KiB | 2024-Oct-25 19:09 |
tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-Oct-25 19:09 |
tui-journal-doc-0.10.0-r0.apk | 6.9 KiB | 2024-Oct-25 19:09 |
ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-Oct-25 19:09 |
uasm-2.56.2-r0.apk | 258.8 KiB | 2024-Oct-25 19:09 |
turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-Oct-25 19:09 |
twiggy-0.6.0-r3.apk | 687.9 KiB | 2024-Oct-25 19:09 |
tsung-1.8.0-r2.apk | 730.1 KiB | 2024-Oct-25 19:09 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.1 KiB | 2024-Oct-25 19:09 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:09 |
twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2024-Oct-25 19:09 |
twemproxy-0.5.0-r0.apk | 56.7 KiB | 2024-Oct-25 19:09 |
ttfautohint-gui-1.8.4-r0.apk | 52.4 KiB | 2024-Oct-25 19:09 |
tup-doc-0.7.11-r0.apk | 20.9 KiB | 2024-Oct-25 19:09 |
tup-0.7.11-r0.apk | 207.7 KiB | 2024-Oct-25 19:09 |
ttfautohint-dev-1.8.4-r0.apk | 140.7 KiB | 2024-Oct-25 19:09 |
tup-vim-0.7.11-r0.apk | 2.6 KiB | 2024-Oct-25 19:09 |
u1db-qt-0.1.8-r0.apk | 89.1 KiB | 2024-Oct-25 19:09 |
ttfautohint-1.8.4-r0.apk | 24.5 KiB | 2024-Oct-25 19:09 |
udpt-openrc-3.1.2-r0.apk | 1.8 KiB | 2024-Oct-25 19:09 |
turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-Oct-25 19:09 |
ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-Oct-25 19:09 |
tui-journal-0.10.0-r0.apk | 1.7 MiB | 2024-Oct-25 19:09 |
udpt-3.1.2-r0.apk | 620.8 KiB | 2024-Oct-25 19:09 |
ubase-20200605-r3.apk | 42.5 KiB | 2024-Oct-25 19:09 |
ttfautohint-libs-1.8.4-r0.apk | 93.1 KiB | 2024-Oct-25 19:09 |
transmission-remote-gtk-1.6.0-r0.apk | 129.6 KiB | 2024-Oct-25 19:08 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-Oct-25 19:08 |
tree-sitter-just-0_git20230318-r0.apk | 13.4 KiB | 2024-Oct-25 19:08 |
toml2json-doc-1.3.1-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
topgit-0.19.13-r1.apk | 126.7 KiB | 2024-Oct-25 19:08 |
tree-sitter-git-diff-0_git20230730-r0.apk | 9.5 KiB | 2024-Oct-25 19:08 |
tree-sitter-pascal-0.9.1-r0.apk | 82.4 KiB | 2024-Oct-25 19:08 |
torrent-file-editor-0.3.18-r0.apk | 351.8 KiB | 2024-Oct-25 19:08 |
trantor-1.5.18-r0.apk | 196.0 KiB | 2024-Oct-25 19:08 |
toml2json-1.3.1-r0.apk | 318.7 KiB | 2024-Oct-25 19:08 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4.2 KiB | 2024-Oct-25 19:08 |
tre-0.8.0-r2.apk | 22.2 KiB | 2024-Oct-25 19:08 |
toybox-0.8.11-r1.apk | 269.2 KiB | 2024-Oct-25 19:08 |
trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-Oct-25 19:08 |
tre-dev-0.8.0-r2.apk | 5.2 KiB | 2024-Oct-25 19:08 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1.9 KiB | 2024-Oct-25 19:08 |
tree-sitter-make-0_git20211216-r2.apk | 41.5 KiB | 2024-Oct-25 19:08 |
tree-sitter-clojure-0.0.12-r0.apk | 22.0 KiB | 2024-Oct-25 19:08 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
tree-sitter-caddy-0_git20230322-r0.apk | 69.0 KiB | 2024-Oct-25 19:08 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
tree-sitter-gleam-1.0.0-r0.apk | 42.9 KiB | 2024-Oct-25 19:08 |
tree-sitter-hare-0_git20230616-r1.apk | 33.3 KiB | 2024-Oct-25 19:08 |
topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-Oct-25 19:08 |
trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-Oct-25 19:08 |
tre-static-0.8.0-r2.apk | 23.4 KiB | 2024-Oct-25 19:08 |
topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-Oct-25 19:08 |
tpm2-pkcs11-1.9.1-r0.apk | 122.5 KiB | 2024-Oct-25 19:08 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 68.9 KiB | 2024-Oct-25 19:08 |
tncattach-0.1.9-r1.apk | 19.8 KiB | 2024-Oct-25 19:08 |
thunarx-python-0.5.2-r2.apk | 9.1 KiB | 2024-Oct-25 19:08 |
tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-Oct-25 19:08 |
timew-bash-completion-1.4.3-r1.apk | 2.8 KiB | 2024-Oct-25 19:08 |
theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
thunar-gtkhash-plugin-1.5-r0.apk | 20.3 KiB | 2024-Oct-25 19:08 |
thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-Oct-25 19:08 |
tintin-2.02.31-r0.apk | 1.8 MiB | 2024-Oct-25 19:08 |
tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-Oct-25 19:08 |
tinyscheme-1.42-r1.apk | 49.0 KiB | 2024-Oct-25 19:08 |
thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-Oct-25 19:08 |
today-6.2.0-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
tmate-2.4.0-r4.apk | 217.7 KiB | 2024-Oct-25 19:08 |
timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-Oct-25 19:08 |
tmux-resurrect-4.0.0-r0.apk | 13.9 KiB | 2024-Oct-25 19:08 |
timew-doc-1.4.3-r1.apk | 53.2 KiB | 2024-Oct-25 19:08 |
thelounge-4.4.3-r0.apk | 27.7 MiB | 2024-Oct-25 19:08 |
thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-Oct-25 19:08 |
today-doc-6.2.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
tnef-1.4.18-r0.apk | 23.6 KiB | 2024-Oct-25 19:08 |
tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-Oct-25 19:08 |
tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-Oct-25 19:08 |
timew-1.4.3-r1.apk | 231.5 KiB | 2024-Oct-25 19:08 |
thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-Oct-25 19:08 |
theme.sh-1.1.5-r0.apk | 39.0 KiB | 2024-Oct-25 19:08 |
toapk-1.0-r0.apk | 10.3 KiB | 2024-Oct-25 19:08 |
tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-Oct-25 19:08 |
timewarrior-1.7.1-r0.apk | 242.3 KiB | 2024-Oct-25 19:08 |
termusic-mpv-0.7.11-r0.apk | 5.4 MiB | 2024-Oct-25 19:08 |
termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-Oct-25 19:08 |
termcolor-2.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 19:08 |
thefuck-pyc-3.32-r5.apk | 155.7 KiB | 2024-Oct-25 19:08 |
texlab-5.19.0-r0.apk | 8.4 MiB | 2024-Oct-25 19:08 |
tere-doc-1.6.0-r0.apk | 14.0 KiB | 2024-Oct-25 19:08 |
theforceengine-1.09.540-r1.apk | 6.7 MiB | 2024-Oct-25 19:08 |
termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-Oct-25 19:08 |
thefuck-3.32-r5.apk | 83.3 KiB | 2024-Oct-25 19:08 |
termbox-static-1.1.2-r1.apk | 11.2 KiB | 2024-Oct-25 19:08 |
tere-1.6.0-r0.apk | 939.8 KiB | 2024-Oct-25 19:08 |
theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-Oct-25 19:08 |
termbox-1.1.2-r1.apk | 10.4 KiB | 2024-Oct-25 19:08 |
teapot-tools-0.4.2-r2.apk | 1.8 MiB | 2024-Oct-25 19:08 |
tayga-0.9.2-r0.apk | 19.8 KiB | 2024-Oct-25 19:08 |
tayga-doc-0.9.2-r0.apk | 5.6 KiB | 2024-Oct-25 19:08 |
tdrop-0.5.0-r0.apk | 11.8 KiB | 2024-Oct-25 19:08 |
tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 19:08 |
tcl-curl-doc-7.22.0-r0.apk | 37.7 KiB | 2024-Oct-25 19:08 |
tcl-curl-7.22.0-r0.apk | 26.9 KiB | 2024-Oct-25 19:08 |
tartube-2.5.0-r1.apk | 2.7 MiB | 2024-Oct-25 19:08 |
tartube-pyc-2.5.0-r1.apk | 1.1 MiB | 2024-Oct-25 19:08 |
sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-Oct-25 19:08 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.6 KiB | 2024-Oct-25 19:08 |
swaks-20240103.0-r0.apk | 66.1 KiB | 2024-Oct-25 19:08 |
synapse-bt-1.0-r4.apk | 1001.9 KiB | 2024-Oct-25 19:08 |
svls-doc-0.2.12-r0.apk | 2.2 KiB | 2024-Oct-25 19:08 |
sxcs-1.1.0-r0.apk | 8.1 KiB | 2024-Oct-25 19:08 |
subdl-0_git20230616-r1.apk | 8.7 KiB | 2024-Oct-25 19:08 |
subdl-pyc-0_git20230616-r1.apk | 14.1 KiB | 2024-Oct-25 19:08 |
svls-0.2.12-r0.apk | 3.1 MiB | 2024-Oct-25 19:08 |
swappy-doc-1.5.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
supermin-5.2.2-r2.apk | 490.2 KiB | 2024-Oct-25 19:08 |
swhkd-doc-1.2.1-r0.apk | 6.2 KiB | 2024-Oct-25 19:08 |
tabby-3.1-r1.apk | 25.8 KiB | 2024-Oct-25 19:08 |
surf-2.1-r3.apk | 20.2 KiB | 2024-Oct-25 19:08 |
tabby-doc-3.1-r1.apk | 2.2 KiB | 2024-Oct-25 19:08 |
swappy-lang-1.5.1-r0.apk | 3.6 KiB | 2024-Oct-25 19:08 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 8.9 KiB | 2024-Oct-25 19:08 |
syncthing-gtk-0.9.4.5-r2.apk | 440.0 KiB | 2024-Oct-25 19:08 |
stw-doc-0.3-r0.apk | 2.5 KiB | 2024-Oct-25 19:08 |
sudo-ldap-1.9.14-r1.apk | 691.7 KiB | 2024-Oct-25 19:08 |
swhkd-1.2.1-r0.apk | 938.1 KiB | 2024-Oct-25 19:08 |
surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-Oct-25 19:08 |
sylpheed-imap-notify-1.1.0-r2.apk | 7.5 KiB | 2024-Oct-25 19:08 |
sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-Oct-25 19:08 |
surf-doc-2.1-r3.apk | 4.6 KiB | 2024-Oct-25 19:08 |
swappy-1.5.1-r0.apk | 25.9 KiB | 2024-Oct-25 19:08 |
stw-0.3-r0.apk | 6.9 KiB | 2024-Oct-25 19:08 |
sublime-music-pyc-0.12.0-r1.apk | 302.2 KiB | 2024-Oct-25 19:08 |
synapse-bt-openrc-1.0-r4.apk | 1.8 KiB | 2024-Oct-25 19:08 |
tachyon-0.99_beta6-r1.apk | 82.8 KiB | 2024-Oct-25 19:08 |
sydbox-utils-3.21.3-r0.apk | 5.3 MiB | 2024-Oct-25 19:08 |
sydbox-vim-3.21.3-r0.apk | 5.2 KiB | 2024-Oct-25 19:08 |
symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-Oct-25 19:08 |
supermin-doc-5.2.2-r2.apk | 9.4 KiB | 2024-Oct-25 19:08 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-Oct-25 19:08 |
swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-Oct-25 19:08 |
symengine-0.12.0-r0.apk | 3.2 MiB | 2024-Oct-25 19:08 |
synapse-bt-cli-1.0-r4.apk | 900.6 KiB | 2024-Oct-25 19:08 |
sydbox-3.21.3-r0.apk | 1.2 MiB | 2024-Oct-25 19:08 |
swayhide-0.2.1-r2.apk | 224.3 KiB | 2024-Oct-25 19:08 |
stone-soup-0.32.1-r0.apk | 30.8 MiB | 2024-Oct-25 19:08 |
tachyon-scenes-0.99_beta6-r1.apk | 1.9 MiB | 2024-Oct-25 19:08 |
t2sz-1.1.2-r0.apk | 8.0 KiB | 2024-Oct-25 19:08 |
surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-Oct-25 19:08 |
sydbox-doc-3.21.3-r0.apk | 83.9 KiB | 2024-Oct-25 19:08 |
startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-Oct-25 19:08 |
sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-Oct-25 19:08 |
startup-2.0.3-r5.apk | 460.9 KiB | 2024-Oct-25 19:08 |
startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-Oct-25 19:08 |
startup-bridge-udev-2.0.3-r5.apk | 33.7 KiB | 2024-Oct-25 19:08 |
sthttpd-openrc-2.27.1-r2.apk | 1.9 KiB | 2024-Oct-25 19:08 |
starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-Oct-25 19:08 |
starfighter-2.4-r0.apk | 47.9 MiB | 2024-Oct-25 19:08 |
startup-bridge-dconf-2.0.3-r5.apk | 34.1 KiB | 2024-Oct-25 19:08 |
startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-Oct-25 19:08 |
sthttpd-2.27.1-r2.apk | 58.5 KiB | 2024-Oct-25 19:08 |
startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-Oct-25 19:08 |
steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-Oct-25 19:08 |
steghide-0.5.1.1-r0.apk | 122.9 KiB | 2024-Oct-25 19:08 |
startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-Oct-25 19:08 |
ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
sqlar-0_git20180107-r1.apk | 14.1 KiB | 2024-Oct-25 19:08 |
ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-Oct-25 19:08 |
sshuttle-1.1.2-r0.apk | 62.2 KiB | 2024-Oct-25 19:08 |
squeak-vm-4.10.2.2614-r1.apk | 388.7 KiB | 2024-Oct-25 19:08 |
sshuttle-pyc-1.1.2-r0.apk | 100.7 KiB | 2024-Oct-25 19:08 |
ssh-honeypot-0.1.1-r1.apk | 7.9 KiB | 2024-Oct-25 19:08 |
ssh-honeypot-openrc-0.1.1-r1.apk | 2.1 KiB | 2024-Oct-25 19:08 |
ssdfs-tools-dev-4.09-r0.apk | 18.5 KiB | 2024-Oct-25 19:08 |
ssdfs-tools-4.09-r0.apk | 96.3 KiB | 2024-Oct-25 19:08 |
spread-sheet-widget-dbg-0.10-r0.apk | 195.4 KiB | 2024-Oct-25 19:08 |
sqm-scripts-1.6.0-r0.apk | 20.4 KiB | 2024-Oct-25 19:08 |
sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-Oct-25 19:08 |
sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-Oct-25 19:08 |
spread-sheet-widget-dev-0.10-r0.apk | 339.5 KiB | 2024-Oct-25 19:08 |
squeak-vm-doc-4.10.2.2614-r1.apk | 12.3 KiB | 2024-Oct-25 19:08 |
sqliteodbc-0.99991-r0.apk | 81.1 KiB | 2024-Oct-25 19:08 |
stardict-lang-3.0.6-r6.apk | 289.5 KiB | 2024-Oct-25 19:08 |
ssss-0.5.7-r0.apk | 10.8 KiB | 2024-Oct-25 19:08 |
stardict-3.0.6-r6.apk | 894.4 KiB | 2024-Oct-25 19:08 |
spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-Oct-25 19:08 |
stardict-help-3.0.6-r6.apk | 3.4 MiB | 2024-Oct-25 19:08 |
stardict-doc-3.0.6-r6.apk | 2.2 KiB | 2024-Oct-25 19:08 |
speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-Oct-25 19:08 |
spread-sheet-widget-0.10-r0.apk | 39.2 KiB | 2024-Oct-25 19:08 |
spark-2.8.3-r1.apk | 28.9 MiB | 2024-Oct-25 19:08 |
speedcrunch-0.12-r3.apk | 1.1 MiB | 2024-Oct-25 19:08 |
spice-html5-0.3.0-r1.apk | 438.5 KiB | 2024-Oct-25 19:08 |
spnavcfg-1.1-r0.apk | 34.2 KiB | 2024-Oct-25 19:08 |
sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-Oct-25 19:08 |
spike-1.1.0-r0.apk | 919.7 KiB | 2024-Oct-25 19:08 |
spotify-tui-0.25.0-r2.apk | 1.7 MiB | 2024-Oct-25 19:08 |
sopwith-2.5.0-r0.apk | 44.8 KiB | 2024-Oct-25 19:08 |
speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-Oct-25 19:08 |
speedtest-examples-5.2.5-r1.apk | 13.0 KiB | 2024-Oct-25 19:08 |
slurm-0.4.4-r0.apk | 13.7 KiB | 2024-Oct-25 19:08 |
somebar-1.0.3-r0.apk | 32.4 KiB | 2024-Oct-25 19:08 |
slidge-matridge-pyc-0.1.0-r0.apk | 37.9 KiB | 2024-Oct-25 19:08 |
sndfile-tools-doc-1.5-r1.apk | 361.0 KiB | 2024-Oct-25 19:08 |
slidge-matridge-0.1.0-r0.apk | 29.7 KiB | 2024-Oct-25 19:08 |
slidge-0.1.3-r0.apk | 144.6 KiB | 2024-Oct-25 19:08 |
somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-Oct-25 19:08 |
slidge-doc-0.1.3-r0.apk | 4.5 KiB | 2024-Oct-25 19:08 |
sndfile-tools-1.5-r1.apk | 35.6 KiB | 2024-Oct-25 19:08 |
soapy-hackrf-0.3.4-r2.apk | 25.6 KiB | 2024-Oct-25 19:08 |
sloccount-doc-2.26-r3.apk | 59.4 KiB | 2024-Oct-25 19:08 |
so-0.4.10-r0.apk | 1.8 MiB | 2024-Oct-25 19:08 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2.3 KiB | 2024-Oct-25 19:08 |
slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
snore-0.3.1-r0.apk | 4.7 KiB | 2024-Oct-25 19:08 |
sipgrep-2.2.0-r1.apk | 24.0 KiB | 2024-Oct-25 19:08 |
slidge-pyc-0.1.3-r0.apk | 285.0 KiB | 2024-Oct-25 19:08 |
sloccount-2.26-r3.apk | 55.6 KiB | 2024-Oct-25 19:08 |
soapy-sdr-remote-0.5.2-r1.apk | 174.0 KiB | 2024-Oct-25 19:08 |
slidge-openrc-0.1.3-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
snore-doc-0.3.1-r0.apk | 3.0 KiB | 2024-Oct-25 19:08 |
slidge-matridge-openrc-0.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 19:08 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1.7 KiB | 2024-Oct-25 19:08 |
sedutil-doc-1.15.1-r1.apk | 3.1 KiB | 2024-Oct-25 19:08 |
sflowtool-doc-6.02-r0.apk | 9.3 KiB | 2024-Oct-25 19:08 |
sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-Oct-25 19:08 |
simh-3.11.1-r1.apk | 2.6 MiB | 2024-Oct-25 19:08 |
shc-4.0.3-r2.apk | 18.0 KiB | 2024-Oct-25 19:08 |
sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-Oct-25 19:08 |
sigma-pyc-0.23.1-r1.apk | 340.0 KiB | 2024-Oct-25 19:08 |
setroot-2.0.2-r1.apk | 10.7 KiB | 2024-Oct-25 19:08 |
shfm-doc-0.4.2-r1.apk | 6.1 KiB | 2024-Oct-25 19:08 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
sedutil-1.15.1-r1.apk | 173.3 KiB | 2024-Oct-25 19:08 |
sgt-puzzles-0_git20230310-r2.apk | 2.5 MiB | 2024-Oct-25 19:08 |
sigma-0.23.1-r1.apk | 236.8 KiB | 2024-Oct-25 19:08 |
sigrok-cli-0.7.2-r0.apk | 36.5 KiB | 2024-Oct-25 19:08 |
shfm-0.4.2-r1.apk | 4.0 KiB | 2024-Oct-25 19:08 |
sentinel-minipot-2.3.0-r1.apk | 39.6 KiB | 2024-Oct-25 19:08 |
shipments-0.3.0-r0.apk | 23.5 KiB | 2024-Oct-25 19:08 |
sflowtool-6.02-r0.apk | 41.3 KiB | 2024-Oct-25 19:08 |
shellinabox-2.21-r3.apk | 115.0 KiB | 2024-Oct-25 19:08 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2024-Oct-25 19:08 |
shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-Oct-25 19:08 |
sentinel-proxy-2.1.0-r0.apk | 35.7 KiB | 2024-Oct-25 19:08 |
sentinel-proxy-openrc-2.1.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
silc-client-doc-1.1.11-r17.apk | 82.8 KiB | 2024-Oct-25 19:08 |
silc-client-1.1.11-r17.apk | 740.1 KiB | 2024-Oct-25 19:08 |
sentinel-proxy-dev-2.1.0-r0.apk | 4.3 KiB | 2024-Oct-25 19:08 |
setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 19:08 |
shine-3.1.1-r0.apk | 50.4 KiB | 2024-Oct-25 19:08 |
shellinabox-doc-2.21-r3.apk | 19.4 KiB | 2024-Oct-25 19:08 |
sdparm-1.12-r1.apk | 133.1 KiB | 2024-Oct-25 19:08 |
sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-Oct-25 19:08 |
sct-2018.12.18-r1.apk | 3.4 KiB | 2024-Oct-25 19:08 |
screenkey-pyc-1.5-r6.apk | 73.4 KiB | 2024-Oct-25 19:08 |
scratch-doc-1.4.0.7-r1.apk | 2.6 KiB | 2024-Oct-25 19:08 |
screenkey-doc-1.5-r6.apk | 11.0 KiB | 2024-Oct-25 19:08 |
scratch-1.4.0.7-r1.apk | 39.3 MiB | 2024-Oct-25 19:08 |
screenkey-1.5-r6.apk | 77.0 KiB | 2024-Oct-25 19:08 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-Oct-25 19:08 |
scooper-1.3-r1.apk | 423.6 KiB | 2024-Oct-25 19:08 |
sblim-sfcc-2.2.8-r3.apk | 46.5 KiB | 2024-Oct-25 19:08 |
sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-Oct-25 19:08 |
sblg-0.5.11-r0.apk | 33.4 KiB | 2024-Oct-25 19:08 |
scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-Oct-25 19:08 |
schismtracker-20231029-r0.apk | 344.8 KiB | 2024-Oct-25 19:08 |
sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-Oct-25 19:08 |
scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-Oct-25 19:08 |
sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-Oct-25 19:08 |
sblim-wbemcli-1.6.3-r1.apk | 89.8 KiB | 2024-Oct-25 19:08 |
schismtracker-doc-20231029-r0.apk | 6.2 KiB | 2024-Oct-25 19:08 |
sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-Oct-25 19:08 |
scap-workbench-1.2.1-r3.apk | 222.7 KiB | 2024-Oct-25 19:08 |
sbase-0_git20210730-r3.apk | 109.0 KiB | 2024-Oct-25 19:08 |
rustic-fish-completion-0.9.3-r0.apk | 16.7 KiB | 2024-Oct-25 19:08 |
saait-doc-0.8-r0.apk | 12.7 KiB | 2024-Oct-25 19:08 |
ry-0.5.2-r1.apk | 4.6 KiB | 2024-Oct-25 19:08 |
s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-Oct-25 19:08 |
sacc-1.07-r0.apk | 13.7 KiB | 2024-Oct-25 19:08 |
s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-Oct-25 19:08 |
s-dkim-sign-0.6.2-r0.apk | 47.8 KiB | 2024-Oct-25 19:08 |
rustic-zsh-completion-0.9.3-r0.apk | 13.0 KiB | 2024-Oct-25 19:08 |
s-postgray-0.8.3-r0.apk | 39.3 KiB | 2024-Oct-25 19:08 |
rustscan-2.3.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:08 |
sacc-doc-1.07-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
ry-bash-completion-0.5.2-r1.apk | 2.0 KiB | 2024-Oct-25 19:08 |
ry-zsh-completion-0.5.2-r1.apk | 2.3 KiB | 2024-Oct-25 19:08 |
rustic-bash-completion-0.9.3-r0.apk | 8.6 KiB | 2024-Oct-25 19:08 |
saait-0.8-r0.apk | 6.7 KiB | 2024-Oct-25 19:08 |
rvlprog-0.91-r2.apk | 23.6 KiB | 2024-Oct-25 19:08 |
rustic-0.9.3-r0.apk | 5.4 MiB | 2024-Oct-25 19:08 |
rkdeveloptool-1.1.0-r1.apk | 51.7 KiB | 2024-Oct-25 19:08 |
rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-Oct-25 19:08 |
rtptools-1.22-r2.apk | 36.9 KiB | 2024-Oct-25 19:08 |
rkdeveloptool-doc-1.1.0-r1.apk | 3.0 KiB | 2024-Oct-25 19:08 |
rizin-cutter-dev-2.3.2-r2.apk | 107.9 KiB | 2024-Oct-25 19:08 |
rtl-power-fftw-20200601-r4.apk | 56.6 KiB | 2024-Oct-25 19:08 |
rpg-cli-1.2.0-r0.apk | 533.1 KiB | 2024-Oct-25 19:08 |
runst-doc-0.1.7-r0.apk | 7.7 KiB | 2024-Oct-25 19:08 |
rustdesk-server-1.1.10.3-r0.apk | 2.1 MiB | 2024-Oct-25 19:08 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-Oct-25 19:08 |
rustdesk-server-openrc-1.1.10.3-r0.apk | 2.2 KiB | 2024-Oct-25 19:08 |
rizin-cutter-2.3.2-r2.apk | 2.0 MiB | 2024-Oct-25 19:08 |
rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2024-Oct-25 19:08 |
rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-Oct-25 19:08 |
rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-Oct-25 19:08 |
runst-0.1.7-r0.apk | 1.5 MiB | 2024-Oct-25 19:08 |
rosdep-pyc-0.19.0-r6.apk | 119.0 KiB | 2024-Oct-25 19:08 |
rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-Oct-25 19:08 |
rpi-imager-doc-1.9.0-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
rtmidi-6.0.0-r0.apk | 27.0 KiB | 2024-Oct-25 19:08 |
rmlint-2.10.2-r2.apk | 134.2 KiB | 2024-Oct-25 19:08 |
rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 19:08 |
rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-Oct-25 19:08 |
rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-Oct-25 19:08 |
rofi-json-menu-0.2.0-r1.apk | 4.7 KiB | 2024-Oct-25 19:08 |
rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-Oct-25 19:08 |
rss-email-doc-0.5.0-r0.apk | 6.4 KiB | 2024-Oct-25 19:08 |
ruby-libguestfs-1.52.0-r1.apk | 101.4 KiB | 2024-Oct-25 19:08 |
rss-email-0.5.0-r0.apk | 1.8 MiB | 2024-Oct-25 19:08 |
rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2024-Oct-25 19:08 |
rpi-imager-1.9.0-r0.apk | 680.0 KiB | 2024-Oct-25 19:08 |
rtw89-src-7_p20230725-r0.apk | 758.7 KiB | 2024-Oct-25 19:08 |
rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-Oct-25 19:08 |
remake-1.5-r1.apk | 124.4 KiB | 2024-Oct-25 19:08 |
repgrep-doc-0.15.0-r0.apk | 6.5 KiB | 2024-Oct-25 19:08 |
remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-Oct-25 19:08 |
rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-Oct-25 19:08 |
rinetd-doc-0.73-r0.apk | 16.4 KiB | 2024-Oct-25 19:08 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 345.6 KiB | 2024-Oct-25 19:08 |
recoll-1.37.5-r1.apk | 2.6 MiB | 2024-Oct-25 19:08 |
repgrep-zsh-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 19:08 |
rgxg-0.1.2-r2.apk | 13.3 KiB | 2024-Oct-25 19:08 |
remake-make-1.5-r1.apk | 1.5 KiB | 2024-Oct-25 19:08 |
repgrep-bash-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 19:08 |
reredirect-0.3-r0.apk | 7.2 KiB | 2024-Oct-25 19:08 |
reason-rtop-3.8.2-r1.apk | 24.3 MiB | 2024-Oct-25 19:08 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 72.9 KiB | 2024-Oct-25 19:08 |
rinetd-0.73-r0.apk | 12.7 KiB | 2024-Oct-25 19:08 |
restart-services-0.17.0-r0.apk | 11.5 KiB | 2024-Oct-25 19:08 |
rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-Oct-25 19:08 |
restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2024-Oct-25 19:08 |
repgrep-0.15.0-r0.apk | 1.1 MiB | 2024-Oct-25 19:08 |
rezolus-2.11.1-r3.apk | 784.0 KiB | 2024-Oct-25 19:08 |
rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-Oct-25 19:08 |
recoll-dev-1.37.5-r1.apk | 53.1 KiB | 2024-Oct-25 19:08 |
responder-3.1.5.0-r0.apk | 750.0 KiB | 2024-Oct-25 19:08 |
remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-Oct-25 19:08 |
recoll-doc-1.37.5-r1.apk | 21.1 KiB | 2024-Oct-25 19:08 |
riemann-cli-0.8.0-r2.apk | 475.8 KiB | 2024-Oct-25 19:08 |
reredirect-doc-0.3-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
remake-doc-1.5-r1.apk | 201.7 KiB | 2024-Oct-25 19:08 |
restic.mk-0.4.0-r0.apk | 2.9 KiB | 2024-Oct-25 19:08 |
remake-dev-1.5-r1.apk | 2.9 KiB | 2024-Oct-25 19:08 |
rezolus-openrc-2.11.1-r3.apk | 2.1 KiB | 2024-Oct-25 19:08 |
rezolus-doc-2.11.1-r3.apk | 3.4 KiB | 2024-Oct-25 19:08 |
repgrep-fish-completion-0.15.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
raspi2png-0.0.20190727-r0.apk | 5.9 KiB | 2024-Oct-25 19:08 |
rattler-build-0.18.0-r0.apk | 5.3 MiB | 2024-Oct-25 19:08 |
rankwidth-libs-0.9-r3.apk | 4.6 KiB | 2024-Oct-25 19:08 |
rattler-build-doc-0.18.0-r0.apk | 6.7 KiB | 2024-Oct-25 19:08 |
razercfg-0.42-r7.apk | 68.0 KiB | 2024-Oct-25 19:08 |
rdedup-3.2.1-r5.apk | 736.7 KiB | 2024-Oct-25 19:08 |
rathole-0.5.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:08 |
razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-Oct-25 19:08 |
reason-3.8.2-r1.apk | 18.3 MiB | 2024-Oct-25 19:08 |
rattler-build-bash-completion-0.18.0-r0.apk | 3.6 KiB | 2024-Oct-25 19:08 |
rattler-build-zsh-completion-0.18.0-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
rclone-browser-1.8.0-r1.apk | 312.9 KiB | 2024-Oct-25 19:08 |
rauc-1.10.1-r0.apk | 139.6 KiB | 2024-Oct-25 19:08 |
razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-Oct-25 19:08 |
rankwidth-dev-0.9-r3.apk | 2.9 KiB | 2024-Oct-25 19:08 |
raspberrypi-usbboot-20210701-r3.apk | 1.5 MiB | 2024-Oct-25 19:08 |
rankwidth-doc-0.9-r3.apk | 3.0 KiB | 2024-Oct-25 19:08 |
rattler-build-fish-completion-0.18.0-r0.apk | 4.7 KiB | 2024-Oct-25 19:08 |
rankwidth-0.9-r3.apk | 4.9 KiB | 2024-Oct-25 19:08 |
rauc-doc-1.10.1-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-Oct-25 19:08 |
rankwidth-static-0.9-r3.apk | 3.7 KiB | 2024-Oct-25 19:08 |
rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
qsstv-9.5.8-r2.apk | 826.2 KiB | 2024-Oct-25 19:08 |
qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-Oct-25 19:08 |
qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.3 KiB | 2024-Oct-25 19:08 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 11.7 KiB | 2024-Oct-25 19:08 |
queercat-1.0.0-r0.apk | 7.7 KiB | 2024-Oct-25 19:08 |
qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:08 |
qucs-s-doc-1.1.0-r1.apk | 2.3 KiB | 2024-Oct-25 19:08 |
qoiconv-0.0.0_git20230312-r0.apk | 25.2 KiB | 2024-Oct-25 19:08 |
qspectrumanalyzer-2.2.0-r5.apk | 53.8 KiB | 2024-Oct-25 19:08 |
qqc2-suru-style-0.20230206-r1.apk | 169.9 KiB | 2024-Oct-25 19:08 |
qoi-0.0.0_git20230312-r0.apk | 1.5 KiB | 2024-Oct-25 19:08 |
qt5ct-dev-1.8-r0.apk | 1.5 KiB | 2024-Oct-25 19:08 |
qsynth-1.0.2-r0.apk | 402.3 KiB | 2024-Oct-25 19:08 |
qtox-1.17.6-r6.apk | 5.0 MiB | 2024-Oct-25 19:08 |
qucs-s-1.1.0-r1.apk | 3.0 MiB | 2024-Oct-25 19:08 |
qtpass-1.4.0-r0.apk | 408.7 KiB | 2024-Oct-25 19:08 |
qownnotes-23.6.6-r0.apk | 2.2 MiB | 2024-Oct-25 19:08 |
qsynth-doc-1.0.2-r0.apk | 4.4 KiB | 2024-Oct-25 19:08 |
quakespasm-0.96.3-r0.apk | 426.3 KiB | 2024-Oct-25 19:08 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.9 KiB | 2024-Oct-25 19:08 |
qml-box2d-0_git20180406-r0.apk | 113.2 KiB | 2024-Oct-25 19:08 |
qt5ct-1.8-r0.apk | 210.3 KiB | 2024-Oct-25 19:08 |
qucs-s-lang-1.1.0-r1.apk | 864.5 KiB | 2024-Oct-25 19:08 |
qownnotes-lang-23.6.6-r0.apk | 4.4 MiB | 2024-Oct-25 19:08 |
py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-Oct-25 19:08 |
py3-rst-0.1-r9.apk | 5.6 KiB | 2024-Oct-25 19:08 |
py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.8 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-Oct-25 19:08 |
py3-wbdata-1.0.0-r1.apk | 18.5 KiB | 2024-Oct-25 19:08 |
py3-wstools-pyc-0.4.10-r7.apk | 110.8 KiB | 2024-Oct-25 19:08 |
py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-Oct-25 19:08 |
py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-Oct-25 19:08 |
py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-Oct-25 19:08 |
py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-Oct-25 19:08 |
py3-queuelib-1.7.0-r0.apk | 12.8 KiB | 2024-Oct-25 19:08 |
py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-Oct-25 19:08 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11.7 KiB | 2024-Oct-25 19:08 |
py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 64.8 KiB | 2024-Oct-25 19:08 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.2 KiB | 2024-Oct-25 19:08 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-Oct-25 19:08 |
py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-Oct-25 19:08 |
py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk | 17.0 KiB | 2024-Oct-25 19:08 |
py3-thefuzz-pyc-0.22.1-r1.apk | 9.0 KiB | 2024-Oct-25 19:08 |
py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.5 KiB | 2024-Oct-25 19:08 |
py3-svgpath-pyc-6.3-r3.apk | 21.6 KiB | 2024-Oct-25 19:08 |
py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-Oct-25 19:08 |
pympress-lang-1.8.5-r1.apk | 56.3 KiB | 2024-Oct-25 19:08 |
py3-qt.py-1.3.10-r1.apk | 32.7 KiB | 2024-Oct-25 19:08 |
py3-timeago-pyc-1.0.16-r0.apk | 27.7 KiB | 2024-Oct-25 19:08 |
py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-Oct-25 19:08 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28.2 KiB | 2024-Oct-25 19:08 |
py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-Oct-25 19:08 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-Oct-25 19:08 |
py3-spin-pyc-0.8-r0.apk | 24.5 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk | 18.9 KiB | 2024-Oct-25 19:08 |
py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-Oct-25 19:08 |
py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-Oct-25 19:08 |
py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-Oct-25 19:08 |
py3-zipfile2-pyc-0.0.12-r0.apk | 28.9 KiB | 2024-Oct-25 19:08 |
py3-slixmpp-pyc-1.8.5-r2.apk | 729.5 KiB | 2024-Oct-25 19:08 |
py3-qpageview-pyc-0.6.2-r1.apk | 180.2 KiB | 2024-Oct-25 19:08 |
py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-Oct-25 19:08 |
py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-Oct-25 19:08 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-Oct-25 19:08 |
py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-Oct-25 19:08 |
py3-tls_parser-2.0.1-r1.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-Oct-25 19:08 |
py3-qt.py-pyc-1.3.10-r1.apk | 24.7 KiB | 2024-Oct-25 19:08 |
py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-Oct-25 19:08 |
py3-scour-pyc-0.38.2-r1.apk | 73.8 KiB | 2024-Oct-25 19:08 |
py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-Oct-25 19:08 |
py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-Oct-25 19:08 |
py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-Oct-25 19:08 |
py3-yara-4.5.1-r0.apk | 16.3 KiB | 2024-Oct-25 19:08 |
py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-Oct-25 19:08 |
py3-zope-configuration-5.0.1-r2.apk | 39.1 KiB | 2024-Oct-25 19:08 |
py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-Oct-25 19:08 |
py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-Oct-25 19:08 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-Oct-25 19:08 |
py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-Oct-25 19:08 |
py3-rosdistro-pyc-0.9.0-r3.apk | 91.3 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.1 KiB | 2024-Oct-25 19:08 |
py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-Oct-25 19:08 |
py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-spelling-8.0.0-r3.apk | 15.3 KiB | 2024-Oct-25 19:08 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-Oct-25 19:08 |
py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-Oct-25 19:08 |
py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-Oct-25 19:08 |
py3-tg-pyc-0.19.0-r5.apk | 80.6 KiB | 2024-Oct-25 19:08 |
py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-Oct-25 19:08 |
py3-queuelib-pyc-1.7.0-r0.apk | 24.8 KiB | 2024-Oct-25 19:08 |
py3-yapsy-pyc-1.12.2-r7.apk | 47.1 KiB | 2024-Oct-25 19:08 |
py3-telegram-0.18.0-r3.apk | 13.6 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-Oct-25 19:08 |
py3-vdf-pyc-3.4-r1.apk | 16.6 KiB | 2024-Oct-25 19:08 |
qdjango-0.6.2-r1.apk | 88.3 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-Oct-25 19:08 |
py3-twiggy-0.5.1-r4.apk | 24.1 KiB | 2024-Oct-25 19:08 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-Oct-25 19:08 |
py3-spin-0.8-r0.apk | 18.5 KiB | 2024-Oct-25 19:08 |
py3-rosdistro-0.9.0-r3.apk | 47.1 KiB | 2024-Oct-25 19:08 |
py3-tidalapi-0.7.4-r1.apk | 35.6 KiB | 2024-Oct-25 19:08 |
py3-virtualenvwrapper-6.1.0-r1.apk | 21.9 KiB | 2024-Oct-25 19:08 |
py3-qpageview-doc-0.6.2-r1.apk | 56.3 KiB | 2024-Oct-25 19:08 |
py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-Oct-25 19:08 |
pympress-pyc-1.8.5-r1.apk | 182.2 KiB | 2024-Oct-25 19:08 |
py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-Oct-25 19:08 |
pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-Oct-25 19:08 |
py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-Oct-25 19:08 |
py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.9 KiB | 2024-Oct-25 19:08 |
py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-Oct-25 19:08 |
py3-wbdata-pyc-1.0.0-r1.apk | 19.6 KiB | 2024-Oct-25 19:08 |
py3-twiggy-pyc-0.5.1-r4.apk | 38.7 KiB | 2024-Oct-25 19:08 |
py3-synapse-auto-accept-invite-1.2.0-r0.apk | 9.8 KiB | 2024-Oct-25 19:08 |
py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
py3-scs-3.2.3-r4.apk | 94.4 KiB | 2024-Oct-25 19:08 |
py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-Oct-25 19:08 |
py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-Oct-25 19:08 |
py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-Oct-25 19:08 |
py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-Oct-25 19:08 |
py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.6 KiB | 2024-Oct-25 19:08 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-Oct-25 19:08 |
pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-Oct-25 19:08 |
py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-Oct-25 19:08 |
py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-Oct-25 19:08 |
py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> | 21.4 KiB | 2024-Oct-25 19:08 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk | 5.6 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.5 KiB | 2024-Oct-25 19:08 |
py3-schema-0.7.5-r4.apk | 18.5 KiB | 2024-Oct-25 19:08 |
py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-Oct-25 19:08 |
py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-Oct-25 19:08 |
py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-Oct-25 19:08 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.8 KiB | 2024-Oct-25 19:08 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-Oct-25 19:08 |
py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.5 KiB | 2024-Oct-25 19:08 |
py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-Oct-25 19:08 |
py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-Oct-25 19:08 |
py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-Oct-25 19:08 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-Oct-25 19:08 |
py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17.1 KiB | 2024-Oct-25 19:08 |
py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-Oct-25 19:08 |
py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-Oct-25 19:08 |
py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-Oct-25 19:08 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-Oct-25 19:08 |
py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-Oct-25 19:08 |
py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-Oct-25 19:08 |
py3-zope-schema-pyc-7.0.1-r3.apk | 61.1 KiB | 2024-Oct-25 19:08 |
py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-Oct-25 19:08 |
py3-wg-netns-2.3.1-r1.apk | 7.6 KiB | 2024-Oct-25 19:08 |
qflipper-1.3.3-r1.apk | 410.8 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-Oct-25 19:08 |
py3-schema-pyc-0.7.5-r4.apk | 18.3 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 19:08 |
pympress-doc-1.8.5-r1.apk | 348.4 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-Oct-25 19:08 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 15.2 KiB | 2024-Oct-25 19:08 |
py3-tg-0.19.0-r5.apk | 73.4 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk | 11.5 KiB | 2024-Oct-25 19:08 |
py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-rpio-0.10.1-r8.apk | 35.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-Oct-25 19:08 |
py3-simplesat-pyc-0.8.2-r0.apk | 156.6 KiB | 2024-Oct-25 19:08 |
py3-scrapy-2.11.1-r1.apk | 240.0 KiB | 2024-Oct-25 19:08 |
py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-Oct-25 19:08 |
pympress-1.8.5-r1.apk | 181.2 KiB | 2024-Oct-25 19:08 |
py3-scrapy-pyc-2.11.1-r1.apk | 482.0 KiB | 2024-Oct-25 19:08 |
py3-tidalapi-pyc-0.7.4-r1.apk | 62.2 KiB | 2024-Oct-25 19:08 |
py3-spinners-pyc-0.0.24-r5.apk | 6.3 KiB | 2024-Oct-25 19:08 |
py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-Oct-25 19:08 |
py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-Oct-25 19:08 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-Oct-25 19:08 |
py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-Oct-25 19:08 |
py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-Oct-25 19:08 |
py3-rpio-pyc-0.10.1-r8.apk | 16.0 KiB | 2024-Oct-25 19:08 |
py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.9 KiB | 2024-Oct-25 19:08 |
py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-Oct-25 19:08 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-Oct-25 19:08 |
py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-Oct-25 19:08 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 48.5 KiB | 2024-Oct-25 19:08 |
py3-shodan-pyc-1.31.0-r1.apk | 79.2 KiB | 2024-Oct-25 19:08 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-Oct-25 19:08 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-Oct-25 19:08 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-Oct-25 19:08 |
py3-svgpath-6.3-r3.apk | 17.1 KiB | 2024-Oct-25 19:08 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-Oct-25 19:08 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-Oct-25 19:08 |
py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-Oct-25 19:08 |
py3-uptime-3.0.1-r9.apk | 9.6 KiB | 2024-Oct-25 19:08 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-Oct-25 19:08 |
py3-telegram-pyc-0.18.0-r3.apk | 20.9 KiB | 2024-Oct-25 19:08 |
py3-vdf-3.4-r1.apk | 11.3 KiB | 2024-Oct-25 19:08 |
py3-spidev-3.6-r1.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.9 KiB | 2024-Oct-25 19:08 |
py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-Oct-25 19:08 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42.2 KiB | 2024-Oct-25 19:08 |
qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-Oct-25 19:08 |
py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-Oct-25 19:08 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-Oct-25 19:08 |
pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-Oct-25 19:08 |
py3-testresources-pyc-2.0.1-r6.apk | 16.0 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> | 43.8 KiB | 2024-Oct-25 19:08 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-Oct-25 19:08 |
py3-tpm2-pytss-2.3.0-r1.apk | 280.1 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.6 KiB | 2024-Oct-25 19:08 |
py3-radon-pyc-6.0.1-r2.apk | 49.6 KiB | 2024-Oct-25 19:08 |
py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-Oct-25 19:08 |
py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-Oct-25 19:08 |
py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-Oct-25 19:08 |
py3-tls_parser-pyc-2.0.1-r1.apk | 17.4 KiB | 2024-Oct-25 19:08 |
py3-ticket-auth-0.1.4-r9.apk | 6.0 KiB | 2024-Oct-25 19:08 |
py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-Oct-25 19:08 |
py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-Oct-25 19:08 |
py3-yosys-0.42-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-Oct-25 19:08 |
py3-testresources-2.0.1-r6.apk | 17.3 KiB | 2024-Oct-25 19:08 |
qflipper-gui-1.3.3-r1.apk | 1022.8 KiB | 2024-Oct-25 19:08 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 79.6 KiB | 2024-Oct-25 19:08 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-Oct-25 19:08 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-Oct-25 19:08 |
py3-uc-micro-py-1.0.2-r1.apk | 9.1 KiB | 2024-Oct-25 19:08 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-Oct-25 19:08 |
py3-slixmpp-1.8.5-r2.apk | 380.7 KiB | 2024-Oct-25 19:08 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-Oct-25 19:08 |
py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-Oct-25 19:08 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-Oct-25 19:08 |
py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-Oct-25 19:08 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-Oct-25 19:08 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-py-radix-0.10.0-r10.apk | 17.0 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk | 8.3 KiB | 2024-Oct-25 19:08 |
py3-playsound-1.3.0-r1.apk | 6.9 KiB | 2024-Oct-25 19:08 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-Oct-25 19:08 |
py3-pyparted-pyc-3.13.0-r1.apk | 42.2 KiB | 2024-Oct-25 19:08 |
py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-Oct-25 19:08 |
py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-Oct-25 19:08 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-Oct-25 19:08 |
py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-Oct-25 19:08 |
py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-Oct-25 19:08 |
py3-pygelbooru-pyc-0.5.0-r4.apk | 11.5 KiB | 2024-Oct-25 19:08 |
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk | 9.0 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk | 8.9 KiB | 2024-Oct-25 19:08 |
py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-Oct-25 19:08 |
py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-Oct-25 19:08 |
py3-pypubsub-pyc-4.0.3-r0.apk | 89.9 KiB | 2024-Oct-25 19:08 |
py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 19:08 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 91.0 KiB | 2024-Oct-25 19:08 |
py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-Oct-25 19:08 |
py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-Oct-25 19:08 |
py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-Oct-25 19:08 |
py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-Oct-25 19:08 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-Oct-25 19:08 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-Oct-25 19:08 |
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.5 KiB | 2024-Oct-25 19:08 |
py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:08 |
py3-pickle-secure-0.99.9-r1.apk | 7.5 KiB | 2024-Oct-25 19:08 |
py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-Oct-25 19:08 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.2 KiB | 2024-Oct-25 19:08 |
py3-python-archive-pyc-0.2-r7.apk | 9.4 KiB | 2024-Oct-25 19:08 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.6 KiB | 2024-Oct-25 19:08 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 502.3 KiB | 2024-Oct-25 19:08 |
py3-python-iptables-pyc-1.0.1-r1.apk | 67.9 KiB | 2024-Oct-25 19:08 |
py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-Oct-25 19:08 |
py3-python-iptables-1.0.1-r1.apk | 38.4 KiB | 2024-Oct-25 19:08 |
py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-Oct-25 19:08 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.3 KiB | 2024-Oct-25 19:08 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 47.3 KiB | 2024-Oct-25 19:08 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-Oct-25 19:08 |
py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-Oct-25 19:08 |
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11.4 KiB | 2024-Oct-25 19:08 |
py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-Oct-25 19:08 |
py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10.0 KiB | 2024-Oct-25 19:08 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
py3-pygpgme-0.3.1-r9.apk | 34.4 KiB | 2024-Oct-25 19:08 |
py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-Oct-25 19:08 |
py3-qasync-0.19.0-r2.apk | 36.9 KiB | 2024-Oct-25 19:08 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17.6 KiB | 2024-Oct-25 19:08 |
py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-Oct-25 19:08 |
py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-Oct-25 19:08 |
py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-Oct-25 19:08 |
py3-pyroma-4.2-r0.apk | 21.9 KiB | 2024-Oct-25 19:08 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-Oct-25 19:08 |
py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-Oct-25 19:08 |
py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-Oct-25 19:08 |
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.5 KiB | 2024-Oct-25 19:08 |
py3-nmap-0.7.1-r4.apk | 20.4 KiB | 2024-Oct-25 19:08 |
py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-Oct-25 19:08 |
py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-Oct-25 19:08 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-Oct-25 19:08 |
py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-Oct-25 19:08 |
py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-Oct-25 19:08 |
py3-pillow_heif-0.18.0-r0.apk | 39.8 KiB | 2024-Oct-25 19:08 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-Oct-25 19:08 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25.2 KiB | 2024-Oct-25 19:08 |
py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-Oct-25 19:08 |
py3-pika-1.3.2-r1.apk | 143.1 KiB | 2024-Oct-25 19:08 |
py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.5 KiB | 2024-Oct-25 19:08 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-Oct-25 19:08 |
py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 19:08 |
py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-Oct-25 19:08 |
py3-pam-pyc-2.0.2-r2.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-Oct-25 19:08 |
py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12.2 KiB | 2024-Oct-25 19:08 |
py3-pyzor-1.0.0-r11.apk | 40.2 KiB | 2024-Oct-25 19:08 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5.4 KiB | 2024-Oct-25 19:08 |
py3-padacioso-pyc-0.2.1-r0.apk | 12.3 KiB | 2024-Oct-25 19:08 |
py3-nmap-pyc-0.7.1-r4.apk | 25.5 KiB | 2024-Oct-25 19:08 |
py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-Oct-25 19:08 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-Oct-25 19:08 |
py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-Oct-25 19:08 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4.7 KiB | 2024-Oct-25 19:08 |
py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-Oct-25 19:08 |
py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-Oct-25 19:08 |
py3-pytest-html-pyc-4.1.1-r1.apk | 21.8 KiB | 2024-Oct-25 19:08 |
py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-Oct-25 19:08 |
py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-Oct-25 19:08 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-Oct-25 19:08 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.9 KiB | 2024-Oct-25 19:08 |
py3-mopidy-tidal-0.3.2-r6.apk | 24.7 KiB | 2024-Oct-25 19:08 |
py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-Oct-25 19:08 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 243.1 KiB | 2024-Oct-25 19:08 |
py3-pycosat-0.6.6-r2.apk | 42.2 KiB | 2024-Oct-25 19:08 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10.1 KiB | 2024-Oct-25 19:08 |
py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-Oct-25 19:08 |
py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-Oct-25 19:08 |
py3-pigpio-79-r4.apk | 93.1 KiB | 2024-Oct-25 19:08 |
py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-Oct-25 19:08 |
py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 86.0 KiB | 2024-Oct-25 19:08 |
py3-pymata-pyc-2.20-r4.apk | 29.4 KiB | 2024-Oct-25 19:08 |
py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-Oct-25 19:08 |
py3-okonomiyaki-2.0.0-r0.apk | 7.9 MiB | 2024-Oct-25 19:08 |
py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-Oct-25 19:08 |
py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-Oct-25 19:08 |
py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-Oct-25 19:08 |
py3-nwdiag-pyc-3.0.0-r3.apk | 77.9 KiB | 2024-Oct-25 19:08 |
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk | 4.8 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8.1 KiB | 2024-Oct-25 19:08 |
py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-Oct-25 19:08 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-Oct-25 19:08 |
py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-Oct-25 19:08 |
py3-pyzor-pyc-1.0.0-r11.apk | 53.7 KiB | 2024-Oct-25 19:08 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.6 KiB | 2024-Oct-25 19:08 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-Oct-25 19:08 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-Oct-25 19:08 |
py3-openapi-codec-1.3.2-r9.apk | 7.7 KiB | 2024-Oct-25 19:08 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-Oct-25 19:08 |
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> | 5.4 KiB | 2024-Oct-25 19:08 |
py3-pelican-pyc-4.9.1-r2.apk | 147.3 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-Oct-25 19:08 |
py3-pyroma-pyc-4.2-r0.apk | 26.0 KiB | 2024-Oct-25 19:08 |
py3-pygpgme-pyc-0.3.1-r9.apk | 5.1 KiB | 2024-Oct-25 19:08 |
py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-Oct-25 19:08 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-Oct-25 19:08 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 48.5 KiB | 2024-Oct-25 19:08 |
py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-Oct-25 19:08 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:08 |
py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-Oct-25 19:08 |
py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-Oct-25 19:08 |
py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-Oct-25 19:08 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-Oct-25 19:08 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-Oct-25 19:08 |
py3-migen-pyc-0.9.2-r2.apk | 295.6 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-news-plugin-0.0.4-r0.apk | 11.3 KiB | 2024-Oct-25 19:08 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.8 KiB | 2024-Oct-25 19:08 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.7 KiB | 2024-Oct-25 19:08 |
py3-netifaces2-0.0.22-r0.apk | 170.8 KiB | 2024-Oct-25 19:08 |
py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-Oct-25 19:08 |
py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 103.0 KiB | 2024-Oct-25 19:08 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.2 KiB | 2024-Oct-25 19:08 |
py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-Oct-25 19:08 |
py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-Oct-25 19:08 |
py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-Oct-25 19:08 |
py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-Oct-25 19:08 |
py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-Oct-25 19:08 |
py3-phpserialize-1.3-r8.apk | 8.9 KiB | 2024-Oct-25 19:08 |
py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-Oct-25 19:08 |
py3-pyrebase-3.0.27-r5.apk | 9.6 KiB | 2024-Oct-25 19:08 |
py3-pyparted-3.13.0-r1.apk | 74.0 KiB | 2024-Oct-25 19:08 |
py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-Oct-25 19:08 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 7.0 KiB | 2024-Oct-25 19:08 |
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-Oct-25 19:08 |
py3-natpmp-pyc-1.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 19:08 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-Oct-25 19:08 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-Oct-25 19:08 |
py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-Oct-25 19:08 |
py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-Oct-25 19:08 |
py3-pygelbooru-0.5.0-r4.apk | 8.2 KiB | 2024-Oct-25 19:08 |
py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-Oct-25 19:08 |
py3-pacparser-1.4.5-r1.apk | 368.2 KiB | 2024-Oct-25 19:08 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-Oct-25 19:08 |
py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-Oct-25 19:08 |
py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-Oct-25 19:08 |
py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-Oct-25 19:08 |
py3-pystache-pyc-0.6.5-r1.apk | 96.7 KiB | 2024-Oct-25 19:08 |
py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-Oct-25 19:08 |
py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-Oct-25 19:08 |
py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-Oct-25 19:08 |
py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-Oct-25 19:08 |
py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-Oct-25 19:08 |
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.2 KiB | 2024-Oct-25 19:08 |
py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-Oct-25 19:08 |
py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-Oct-25 19:08 |
py3-pyatem-0.5.0-r4.apk | 52.0 KiB | 2024-Oct-25 19:08 |
py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-Oct-25 19:08 |
py3-pika-pyc-1.3.2-r1.apk | 245.9 KiB | 2024-Oct-25 19:08 |
py3-py-radix-pyc-0.10.0-r10.apk | 10.6 KiB | 2024-Oct-25 19:08 |
py3-ovos-backend-client-1.0.0-r0.apk | 45.9 KiB | 2024-Oct-25 19:08 |
py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-Oct-25 19:08 |
py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-Oct-25 19:08 |
py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-Oct-25 19:08 |
py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-Oct-25 19:08 |
py3-pydes-pyc-2.0.1-r5.apk | 13.2 KiB | 2024-Oct-25 19:08 |
py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> | 2.4 MiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-Oct-25 19:08 |
py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-Oct-25 19:08 |
py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-Oct-25 19:08 |
py3-lsp-black-2.0.0-r1.apk | 7.5 KiB | 2024-Oct-25 19:08 |
py3-mapbox-earcut-1.0.1-r2.apk | 54.1 KiB | 2024-Oct-25 19:08 |
py3-ly-pyc-0.9.8-r1.apk | 355.1 KiB | 2024-Oct-25 19:08 |
py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-software-compiler_rt-2..> | 2.2 MiB | 2024-Oct-25 19:08 |
py3-lzo-1.16-r1.apk | 16.2 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> | 712.6 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> | 57.9 KiB | 2024-Oct-25 19:08 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-Oct-25 19:08 |
py3-ly-0.9.8-r1.apk | 187.2 KiB | 2024-Oct-25 19:08 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6.4 KiB | 2024-Oct-25 19:08 |
py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-Oct-25 19:08 |
py3-lunr-pyc-0.6.2-r4.apk | 50.6 KiB | 2024-Oct-25 19:08 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.5 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-software-picolibc-2024..> | 4.7 MiB | 2024-Oct-25 19:08 |
py3-ly-doc-0.9.8-r1.apk | 8.1 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-Oct-25 19:08 |
py3-mando-doc-0.7.1-r3.apk | 4.2 KiB | 2024-Oct-25 19:08 |
py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-Oct-25 19:08 |
py3-logtop-0.7-r0.apk | 17.5 KiB | 2024-Oct-25 19:08 |
py3-mando-0.7.1-r3.apk | 22.1 KiB | 2024-Oct-25 19:08 |
py3-lzo-pyc-1.16-r1.apk | 2.0 KiB | 2024-Oct-25 19:08 |
py3-m2crypto-0.41.0-r2.apk | 186.2 KiB | 2024-Oct-25 19:08 |
py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-Oct-25 19:08 |
py3-logtop-pyc-0.7-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-m2crypto-pyc-0.41.0-r2.apk | 122.6 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-Oct-25 19:08 |
py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-Oct-25 19:08 |
py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-Oct-25 19:08 |
py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 19:08 |
py3-mando-pyc-0.7.1-r3.apk | 36.0 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> | 230.3 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> | 207.9 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> | 7.6 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> | 934.1 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> | 1.9 MiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> | 44.8 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> | 5.6 MiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> | 19.5 MiB | 2024-Oct-25 19:08 |
py3-bencode-pyc-4.0.0-r1.apk | 10.5 KiB | 2024-Oct-25 19:08 |
py3-iso639-lang-2.2.3-r0.apk | 269.0 KiB | 2024-Oct-25 19:08 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-Oct-25 19:08 |
py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-Oct-25 19:08 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-Oct-25 19:08 |
py3-cobs-pyc-1.2.0-r4.apk | 12.5 KiB | 2024-Oct-25 19:08 |
py3-iniparse-pyc-0.5-r7.apk | 24.6 KiB | 2024-Oct-25 19:08 |
py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-Oct-25 19:08 |
py3-librtmp-0.3.0-r6.apk | 32.5 KiB | 2024-Oct-25 19:08 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 242.6 KiB | 2024-Oct-25 19:08 |
py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-Oct-25 19:08 |
py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-Oct-25 19:08 |
py3-chameleon-4.5.4-r0.apk | 97.5 KiB | 2024-Oct-25 19:08 |
py3-junit-xml-pyc-1.9-r3.apk | 9.3 KiB | 2024-Oct-25 19:08 |
py3-distorm3-pyc-3.5.2-r6.apk | 48.7 KiB | 2024-Oct-25 19:08 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.7 KiB | 2024-Oct-25 19:08 |
py3-irc-20.4.1-r0.apk | 40.9 KiB | 2024-Oct-25 19:08 |
py3-forbiddenfruit-0.1.4-r2.apk | 9.0 KiB | 2024-Oct-25 19:08 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-Oct-25 19:08 |
py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-Oct-25 19:08 |
py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
py3-discid-pyc-1.2.0-r6.apk | 13.1 KiB | 2024-Oct-25 19:08 |
py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-Oct-25 19:08 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-Oct-25 19:08 |
py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-Oct-25 19:08 |
py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-Oct-25 19:08 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-Oct-25 19:08 |
py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-Oct-25 19:08 |
py3-bookkeeper-pyc-4.17.1-r0.apk | 66.6 KiB | 2024-Oct-25 19:08 |
py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-Oct-25 19:08 |
py3-deluge-client-pyc-1.10.2-r0.apk | 19.7 KiB | 2024-Oct-25 19:08 |
py3-fpdf-pyc-1.7.2-r5.apk | 89.2 KiB | 2024-Oct-25 19:08 |
py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-Oct-25 19:08 |
py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-Oct-25 19:08 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 26.8 KiB | 2024-Oct-25 19:08 |
py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-Oct-25 19:08 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-Oct-25 19:08 |
py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-Oct-25 19:08 |
py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-Oct-25 19:08 |
py3-colander-pyc-2.0-r2.apk | 42.5 KiB | 2024-Oct-25 19:08 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-langcodes-3.3.0-r2.apk | 173.5 KiB | 2024-Oct-25 19:08 |
py3-junit-xml-1.9-r3.apk | 8.3 KiB | 2024-Oct-25 19:08 |
py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-Oct-25 19:08 |
py3-flask-headers-pyc-1.0-r9.apk | 2.5 KiB | 2024-Oct-25 19:08 |
py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-Oct-25 19:08 |
py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-Oct-25 19:08 |
py3-colorthief-pyc-0.2.1-r1.apk | 10.1 KiB | 2024-Oct-25 19:08 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5.3 KiB | 2024-Oct-25 19:08 |
py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-Oct-25 19:08 |
py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-Oct-25 19:08 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 560.0 KiB | 2024-Oct-25 19:08 |
py3-cchardet-2.1.7-r5.apk | 119.8 KiB | 2024-Oct-25 19:08 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-Oct-25 19:08 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-Oct-25 19:08 |
py3-dominate-2.9.1-r1.apk | 24.8 KiB | 2024-Oct-25 19:08 |
py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-Oct-25 19:08 |
py3-avro-1.11.3-r1.apk | 97.7 KiB | 2024-Oct-25 19:08 |
py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-Oct-25 19:08 |
py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-Oct-25 19:08 |
py3-bidict-pyc-0.23.1-r1.apk | 28.8 KiB | 2024-Oct-25 19:08 |
py3-libacl-0.7.0-r2.apk | 24.7 KiB | 2024-Oct-25 19:08 |
py3-furl-2.1.3-r4.apk | 21.4 KiB | 2024-Oct-25 19:08 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 15.8 KiB | 2024-Oct-25 19:08 |
py3-fpdf-1.7.2-r5.apk | 39.7 KiB | 2024-Oct-25 19:08 |
py3-hg-git-pyc-1.1.1-r1.apk | 106.8 KiB | 2024-Oct-25 19:08 |
py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-Oct-25 19:08 |
py3-base58-2.1.1-r2.apk | 11.1 KiB | 2024-Oct-25 19:08 |
py3-cstruct-5.3-r1.apk | 22.1 KiB | 2024-Oct-25 19:08 |
py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-Oct-25 19:08 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.6 KiB | 2024-Oct-25 19:08 |
py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-Oct-25 19:08 |
py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-Oct-25 19:08 |
py3-colander-2.0-r2.apk | 62.5 KiB | 2024-Oct-25 19:08 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9.7 KiB | 2024-Oct-25 19:08 |
py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-Oct-25 19:08 |
py3-daterangestr-0.0.3-r8.apk | 4.4 KiB | 2024-Oct-25 19:08 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-Oct-25 19:08 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-Oct-25 19:08 |
py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-Oct-25 19:08 |
py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-Oct-25 19:08 |
py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-Oct-25 19:08 |
py3-cchardet-pyc-2.1.7-r5.apk | 3.1 KiB | 2024-Oct-25 19:08 |
py3-drf-yasg-pyc-1.21.7-r2.apk | 97.3 KiB | 2024-Oct-25 19:08 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-Oct-25 19:08 |
py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-Oct-25 19:08 |
py3-bitstruct-8.19.0-r1.apk | 32.7 KiB | 2024-Oct-25 19:08 |
py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-Oct-25 19:08 |
py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-Oct-25 19:08 |
py3-furl-pyc-2.1.3-r4.apk | 32.3 KiB | 2024-Oct-25 19:08 |
py3-bookkeeper-4.17.1-r0.apk | 42.9 KiB | 2024-Oct-25 19:08 |
py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-Oct-25 19:08 |
py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-Oct-25 19:08 |
py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-Oct-25 19:08 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-Oct-25 19:08 |
py3-googletrans-3.0.0-r5.apk | 15.5 KiB | 2024-Oct-25 19:08 |
py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-Oct-25 19:08 |
py3-crc16-0.1.1-r10.apk | 11.6 KiB | 2024-Oct-25 19:08 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
py3-jaraco.logging-3.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 19:08 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-Oct-25 19:08 |
py3-flask-accept-pyc-0.0.6-r1.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-Oct-25 19:08 |
py3-catkin-pkg-0.5.2-r4.apk | 57.2 KiB | 2024-Oct-25 19:08 |
py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-Oct-25 19:08 |
py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-Oct-25 19:08 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5.0 KiB | 2024-Oct-25 19:08 |
py3-flask-accept-0.0.6-r1.apk | 5.0 KiB | 2024-Oct-25 19:08 |
py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-Oct-25 19:08 |
py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-Oct-25 19:08 |
py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-Oct-25 19:08 |
py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-Oct-25 19:08 |
py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-Oct-25 19:08 |
py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-Oct-25 19:08 |
py3-c3d-pyc-0.5.2-r1.apk | 53.7 KiB | 2024-Oct-25 19:08 |
py3-gdcm-3.0.24-r0.apk | 658.6 KiB | 2024-Oct-25 19:08 |
py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-Oct-25 19:08 |
py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-Oct-25 19:08 |
py3-cvxpy-pyc-1.2.1-r5.apk | 936.1 KiB | 2024-Oct-25 19:08 |
py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-Oct-25 19:08 |
py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-Oct-25 19:08 |
py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-Oct-25 19:08 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-Oct-25 19:08 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4.3 KiB | 2024-Oct-25 19:08 |
py3-feedgen-pyc-1.0.0-r1.apk | 61.7 KiB | 2024-Oct-25 19:08 |
py3-evohome-client-0.3.7-r4.apk | 19.0 KiB | 2024-Oct-25 19:08 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 19.8 KiB | 2024-Oct-25 19:08 |
py3-itemloaders-1.3.2-r0.apk | 12.5 KiB | 2024-Oct-25 19:08 |
py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-Oct-25 19:08 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 5.0 KiB | 2024-Oct-25 19:08 |
py3-flask-migrate-pyc-4.0.7-r0.apk | 18.1 KiB | 2024-Oct-25 19:08 |
py3-flask-restless-pyc-0.17.0-r9.apk | 59.1 KiB | 2024-Oct-25 19:08 |
py3-dexml-pyc-0.5.1-r9.apk | 37.5 KiB | 2024-Oct-25 19:08 |
py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-Oct-25 19:08 |
py3-astral-3.2-r3.apk | 37.0 KiB | 2024-Oct-25 19:08 |
py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-Oct-25 19:08 |
py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-Oct-25 19:08 |
py3-dnslib-pyc-0.9.25-r0.apk | 108.9 KiB | 2024-Oct-25 19:08 |
py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-Oct-25 19:08 |
py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-Oct-25 19:08 |
py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-Oct-25 19:08 |
py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-Oct-25 19:08 |
py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-Oct-25 19:08 |
py3-kerberos-1.3.1-r5.apk | 15.9 KiB | 2024-Oct-25 19:08 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-Oct-25 19:08 |
py3-dnslib-0.9.25-r0.apk | 51.8 KiB | 2024-Oct-25 19:08 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-Oct-25 19:08 |
py3-geoip-1.3.2-r4.apk | 21.7 KiB | 2024-Oct-25 19:08 |
py3-irc-pyc-20.4.1-r0.apk | 71.0 KiB | 2024-Oct-25 19:08 |
py3-flake8-isort-6.1.1-r1.apk | 18.2 KiB | 2024-Oct-25 19:08 |
py3-c3d-0.5.2-r1.apk | 32.1 KiB | 2024-Oct-25 19:08 |
py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-Oct-25 19:08 |
py3-latex2mathml-3.77.0-r1.apk | 72.3 KiB | 2024-Oct-25 19:08 |
py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-Oct-25 19:08 |
py3-imageio-2.35.1-r0.apk | 287.5 KiB | 2024-Oct-25 19:08 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-Oct-25 19:08 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> | 14.9 KiB | 2024-Oct-25 19:08 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 6.0 KiB | 2024-Oct-25 19:08 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-Oct-25 19:08 |
py3-apicula-pyc-0.11.1-r1.apk | 179.5 KiB | 2024-Oct-25 19:08 |
py3-cobs-1.2.0-r4.apk | 16.0 KiB | 2024-Oct-25 19:08 |
py3-distorm3-3.5.2-r6.apk | 45.8 KiB | 2024-Oct-25 19:08 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10.6 KiB | 2024-Oct-25 19:08 |
py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-Oct-25 19:08 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10.6 KiB | 2024-Oct-25 19:08 |
py3-jaraco.logging-pyc-3.3.0-r0.apk | 5.8 KiB | 2024-Oct-25 19:08 |
py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-Oct-25 19:08 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.3 KiB | 2024-Oct-25 19:08 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.8 KiB | 2024-Oct-25 19:08 |
py3-libcec-rpi-6.0.2-r4.apk | 101.7 KiB | 2024-Oct-25 19:08 |
py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-Oct-25 19:08 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-Oct-25 19:08 |
py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-Oct-25 19:08 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-Oct-25 19:08 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 47.5 KiB | 2024-Oct-25 19:08 |
py3-helper-pyc-2.5.0-r5.apk | 28.2 KiB | 2024-Oct-25 19:08 |
py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-Oct-25 19:08 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 19:08 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-Oct-25 19:08 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-Oct-25 19:08 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-Oct-25 19:08 |
py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-Oct-25 19:08 |
py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-Oct-25 19:08 |
py3-html5-parser-0.4.12-r1.apk | 154.1 KiB | 2024-Oct-25 19:08 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-git-versioner-7.1-r1.apk | 12.0 KiB | 2024-Oct-25 19:08 |
py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-Oct-25 19:08 |
py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-Oct-25 19:08 |
py3-flask-httpauth-4.8.0-r2.apk | 8.0 KiB | 2024-Oct-25 19:08 |
py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-Oct-25 19:08 |
py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-Oct-25 19:08 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-Oct-25 19:08 |
py3-dominate-pyc-2.9.1-r1.apk | 33.7 KiB | 2024-Oct-25 19:08 |
py3-gls-1.3.1-r1.apk | 46.8 KiB | 2024-Oct-25 19:08 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-Oct-25 19:08 |
py3-flask-admin-pyc-1.6.1-r3.apk | 358.3 KiB | 2024-Oct-25 19:08 |
py3-cstruct-pyc-5.3-r1.apk | 36.1 KiB | 2024-Oct-25 19:08 |
py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-Oct-25 19:08 |
py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-Oct-25 19:08 |
py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-Oct-25 19:08 |
py3-clickclick-20.10.2-r4.apk | 8.0 KiB | 2024-Oct-25 19:08 |
py3-avro-pyc-1.11.3-r1.apk | 191.4 KiB | 2024-Oct-25 19:08 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-Oct-25 19:08 |
py3-libguestfs-1.52.0-r1.apk | 174.6 KiB | 2024-Oct-25 19:08 |
py3-editdistance-s-1.0.0-r6.apk | 14.1 KiB | 2024-Oct-25 19:08 |
py3-imageio-pyc-2.35.1-r0.apk | 504.4 KiB | 2024-Oct-25 19:08 |
py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-Oct-25 19:08 |
py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-Oct-25 19:08 |
py3-dweepy-pyc-0.3.0-r7.apk | 6.3 KiB | 2024-Oct-25 19:08 |
py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-Oct-25 19:08 |
py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-Oct-25 19:08 |
py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-Oct-25 19:08 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3.3 KiB | 2024-Oct-25 19:08 |
py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-Oct-25 19:08 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-Oct-25 19:08 |
py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-Oct-25 19:08 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-Oct-25 19:08 |
py3-django-suit-pyc-0.2.28-r8.apk | 32.5 KiB | 2024-Oct-25 19:08 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-Oct-25 19:08 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-Oct-25 19:08 |
py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-Oct-25 19:08 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-Oct-25 19:08 |
py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-Oct-25 19:08 |
py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-Oct-25 19:08 |
py3-googletrans-pyc-3.0.0-r5.apk | 17.4 KiB | 2024-Oct-25 19:08 |
py3-asif-pyc-0.3.2-r3.apk | 25.9 KiB | 2024-Oct-25 19:08 |
py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-Oct-25 19:08 |
py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-Oct-25 19:08 |
py3-bandwidth-sdk-3.1.0-r8.apk | 46.0 KiB | 2024-Oct-25 19:08 |
py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-Oct-25 19:08 |
py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-Oct-25 19:08 |
py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-Oct-25 19:08 |
py3-cmd2-pyc-2.4.3-r2.apk | 222.6 KiB | 2024-Oct-25 19:08 |
py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-Oct-25 19:08 |
py3-click-threading-pyc-0.5.0-r5.apk | 7.9 KiB | 2024-Oct-25 19:08 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5.4 KiB | 2024-Oct-25 19:08 |
py3-discid-1.2.0-r6.apk | 23.8 KiB | 2024-Oct-25 19:08 |
py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-Oct-25 19:08 |
py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-Oct-25 19:08 |
py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-Oct-25 19:08 |
py3-compdb-pyc-0.2.0-r8.apk | 39.6 KiB | 2024-Oct-25 19:08 |
py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-Oct-25 19:08 |
py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-Oct-25 19:08 |
py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-Oct-25 19:08 |
py3-iterable-io-1.0.0-r0.apk | 6.0 KiB | 2024-Oct-25 19:08 |
py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-Oct-25 19:08 |
py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-Oct-25 19:08 |
py3-evohome-client-pyc-0.3.7-r4.apk | 27.0 KiB | 2024-Oct-25 19:08 |
py3-ecos-2.0.11-r4.apk | 26.9 KiB | 2024-Oct-25 19:08 |
py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-Oct-25 19:08 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 34.9 KiB | 2024-Oct-25 19:08 |
py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-Oct-25 19:08 |
py3-dataclasses-serialization-1.3.1-r3.apk | 11.0 KiB | 2024-Oct-25 19:08 |
py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-Oct-25 19:08 |
py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-Oct-25 19:08 |
py3-drf-yasg-1.21.7-r2.apk | 4.1 MiB | 2024-Oct-25 19:08 |
py3-chameleon-pyc-4.5.4-r0.apk | 131.0 KiB | 2024-Oct-25 19:08 |
py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-Oct-25 19:08 |
py3-edalize-pyc-0.5.4-r0.apk | 190.2 KiB | 2024-Oct-25 19:08 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-Oct-25 19:08 |
py3-apio-pyc-0.9.5-r0.apk | 77.2 KiB | 2024-Oct-25 19:08 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-Oct-25 19:08 |
py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-Oct-25 19:08 |
py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-Oct-25 19:08 |
py3-class-doc-1.25-r1.apk | 6.1 KiB | 2024-Oct-25 19:08 |
py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-Oct-25 19:08 |
py3-ciso8601-2.3.1-r1.apk | 15.7 KiB | 2024-Oct-25 19:08 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.3 KiB | 2024-Oct-25 19:08 |
py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-Oct-25 19:08 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22.3 KiB | 2024-Oct-25 19:08 |
py3-kazoo-pyc-0_git20211202-r4.apk | 244.5 KiB | 2024-Oct-25 19:08 |
py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-Oct-25 19:08 |
py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-Oct-25 19:08 |
py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-Oct-25 19:08 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.3 KiB | 2024-Oct-25 19:08 |
py3-flask-migrate-4.0.7-r0.apk | 13.1 KiB | 2024-Oct-25 19:08 |
py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-Oct-25 19:08 |
py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-Oct-25 19:08 |
py3-doit-pyc-0.36.0-r5.apk | 133.3 KiB | 2024-Oct-25 19:08 |
py3-empy-pyc-3.3.4-r7.apk | 58.5 KiB | 2024-Oct-25 19:08 |
py3-langcodes-pyc-3.3.0-r2.apk | 109.7 KiB | 2024-Oct-25 19:08 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-Oct-25 19:08 |
py3-createrepo_c-1.1.4-r0.apk | 39.5 KiB | 2024-Oct-25 19:08 |
py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-Oct-25 19:08 |
py3-flask-markdown-pyc-0.3-r8.apk | 3.9 KiB | 2024-Oct-25 19:08 |
py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-Oct-25 19:08 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.7 KiB | 2024-Oct-25 19:08 |
py3-libmdbx-pyc-0.10.2-r7.apk | 32.8 KiB | 2024-Oct-25 19:08 |
py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-Oct-25 19:08 |
py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-Oct-25 19:08 |
py3-github3-pyc-4.0.1-r1.apk | 227.0 KiB | 2024-Oct-25 19:08 |
py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-Oct-25 19:08 |
py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-Oct-25 19:08 |
py3-github3-4.0.1-r1.apk | 128.3 KiB | 2024-Oct-25 19:08 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14.5 KiB | 2024-Oct-25 19:08 |
py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-Oct-25 19:08 |
py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-Oct-25 19:08 |
py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-Oct-25 19:08 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5.2 KiB | 2024-Oct-25 19:08 |
py3-cvxpy-1.2.1-r5.apk | 631.6 KiB | 2024-Oct-25 19:08 |
py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-Oct-25 19:08 |
py3-firmata-pyc-1.0.3-r10.apk | 20.9 KiB | 2024-Oct-25 19:08 |
py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-Oct-25 19:08 |
py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-Oct-25 19:08 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-Oct-25 19:08 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 69.2 KiB | 2024-Oct-25 19:08 |
py3-clickclick-pyc-20.10.2-r4.apk | 9.8 KiB | 2024-Oct-25 19:08 |
py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-Oct-25 19:08 |
py3-coreapi-pyc-2.3.3-r9.apk | 43.3 KiB | 2024-Oct-25 19:08 |
py3-libmdbx-0.10.2-r7.apk | 27.9 KiB | 2024-Oct-25 19:08 |
py3-itemloaders-pyc-1.3.2-r0.apk | 16.6 KiB | 2024-Oct-25 19:08 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-Oct-25 19:08 |
py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-Oct-25 19:08 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-Oct-25 19:08 |
py3-librtmp-pyc-0.3.0-r6.apk | 24.6 KiB | 2024-Oct-25 19:08 |
py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-Oct-25 19:08 |
py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-Oct-25 19:08 |
pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-Oct-25 19:08 |
py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-Oct-25 19:08 |
py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-Oct-25 19:08 |
py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-Oct-25 19:08 |
py3-aiodocker-pyc-0.21.0-r1.apk | 60.4 KiB | 2024-Oct-25 19:08 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-Oct-25 19:08 |
pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2024-Oct-25 19:08 |
py3-altgraph-pyc-0.17.4-r1.apk | 29.1 KiB | 2024-Oct-25 19:08 |
pw-volume-0.5.0-r1.apk | 281.6 KiB | 2024-Oct-25 19:08 |
py3-allfiles-pyc-1.0-r8.apk | 3.3 KiB | 2024-Oct-25 19:08 |
psftools-dev-1.1.2-r0.apk | 41.1 KiB | 2024-Oct-25 19:08 |
pwauth-2.3.11-r2.apk | 4.0 KiB | 2024-Oct-25 19:08 |
py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-Oct-25 19:08 |
pspp-2.0.1-r0.apk | 9.1 MiB | 2024-Oct-25 19:08 |
py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-Oct-25 19:08 |
py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-Oct-25 19:08 |
py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-Oct-25 19:08 |
psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-Oct-25 19:08 |
py3-ansi2html-pyc-1.9.2-r0.apk | 21.9 KiB | 2024-Oct-25 19:08 |
purple-hangouts-0_git20200422-r0.apk | 187.7 KiB | 2024-Oct-25 19:08 |
py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-Oct-25 19:08 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-Oct-25 19:08 |
pspp-dbg-2.0.1-r0.apk | 4.4 MiB | 2024-Oct-25 19:08 |
ptpd-2.3.1-r1.apk | 174.9 KiB | 2024-Oct-25 19:08 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-Oct-25 19:08 |
pully-1.0.0-r0.apk | 2.5 KiB | 2024-Oct-25 19:08 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-Oct-25 19:08 |
py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-Oct-25 19:08 |
py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-Oct-25 19:08 |
pxmenu-1.0.0-r1.apk | 2.9 KiB | 2024-Oct-25 19:08 |
py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-Oct-25 19:08 |
py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-Oct-25 19:08 |
psftools-1.1.2-r0.apk | 189.7 KiB | 2024-Oct-25 19:08 |
py3-ansi2html-1.9.2-r0.apk | 17.6 KiB | 2024-Oct-25 19:08 |
pulseview-0.4.2-r8.apk | 808.1 KiB | 2024-Oct-25 19:08 |
ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-Oct-25 19:08 |
ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-Oct-25 19:08 |
psi-notify-1.3.1-r0.apk | 10.8 KiB | 2024-Oct-25 19:08 |
psst-0_git20240526-r1.apk | 6.9 MiB | 2024-Oct-25 19:08 |
pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-Oct-25 19:08 |
purple-facebook-0.9.6-r0.apk | 64.7 KiB | 2024-Oct-25 19:08 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 673.1 KiB | 2024-Oct-25 19:08 |
py3-actdiag-pyc-3.0.0-r5.apk | 21.5 KiB | 2024-Oct-25 19:08 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-Oct-25 19:08 |
pspp-doc-2.0.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:08 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.2 KiB | 2024-Oct-25 19:08 |
py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-Oct-25 19:08 |
pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-Oct-25 19:08 |
projectm-pulseaudio-3.1.12-r2.apk | 366.0 KiB | 2024-Oct-25 19:08 |
prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2024-Oct-25 19:08 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2024-Oct-25 19:08 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-Oct-25 19:08 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 19:08 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-Oct-25 19:08 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2024-Oct-25 19:08 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2024-Oct-25 19:08 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-Oct-25 19:08 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:08 |
projectm-sdl-3.1.12-r2.apk | 283.1 KiB | 2024-Oct-25 19:08 |
proot-static-5.4.0-r1.apk | 95.1 KiB | 2024-Oct-25 19:08 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 19:08 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-Oct-25 19:08 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:08 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-25 19:08 |
prosody-mod-register_redirect-0.11_hg20201208-r..> | 2.7 KiB | 2024-Oct-25 19:08 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-block_registrations-0.11_hg20201208..> | 1.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:08 |
projectsandcastle-loader-0_git20200307-r1.apk | 5.0 KiB | 2024-Oct-25 19:08 |
projectm-3.1.12-r2.apk | 398.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:08 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> | 2.0 KiB | 2024-Oct-25 19:08 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> | 2.0 KiB | 2024-Oct-25 19:08 |
proot-doc-5.4.0-r1.apk | 10.3 KiB | 2024-Oct-25 19:08 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2024-Oct-25 19:08 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> | 6.9 KiB | 2024-Oct-25 19:08 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2024-Oct-25 19:08 |
projectm-dev-3.1.12-r2.apk | 631.9 KiB | 2024-Oct-25 19:08 |
prosody-mod-http_upload_external-0.11_hg2020120..> | 2.9 KiB | 2024-Oct-25 19:08 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2024-Oct-25 19:08 |
proot-5.4.0-r1.apk | 62.5 KiB | 2024-Oct-25 19:08 |
projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-Oct-25 19:08 |
pptpclient-doc-1.10.0-r5.apk | 7.2 KiB | 2024-Oct-25 19:08 |
postgresql-pgmq-1.1.1-r1.apk | 236.3 KiB | 2024-Oct-25 19:08 |
pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-Oct-25 19:08 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.3 KiB | 2024-Oct-25 19:08 |
portsmf-239-r1.apk | 47.0 KiB | 2024-Oct-25 19:08 |
postgresql-pg_variables-bitcode-1.2.5_git202309..> | 53.9 KiB | 2024-Oct-25 19:08 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-Oct-25 19:08 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-Oct-25 19:08 |
pounce-doc-3.1-r3.apk | 8.5 KiB | 2024-Oct-25 19:08 |
powder-toy-97.0.352-r1.apk | 756.5 KiB | 2024-Oct-25 19:08 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-Oct-25 19:08 |
pounce-3.1-r3.apk | 26.3 KiB | 2024-Oct-25 19:08 |
prjtrellis-1.4-r2.apk | 1.1 MiB | 2024-Oct-25 19:08 |
pptpclient-1.10.0-r5.apk | 31.0 KiB | 2024-Oct-25 19:08 |
powerstat-0.04.01-r0.apk | 19.1 KiB | 2024-Oct-25 19:08 |
prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
pounce-openrc-3.1-r3.apk | 2.8 KiB | 2024-Oct-25 19:08 |
powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
postgresql-pg_later-0.0.14-r1.apk | 561.2 KiB | 2024-Oct-25 19:08 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 20.2 KiB | 2024-Oct-25 19:08 |
powerstat-doc-0.04.01-r0.apk | 4.2 KiB | 2024-Oct-25 19:08 |
postgresql16-wal2json-2.6-r0.apk | 68.1 KiB | 2024-Oct-25 19:08 |
pqiv-2.12-r1.apk | 59.2 KiB | 2024-Oct-25 19:08 |
portsmf-dev-239-r1.apk | 20.3 KiB | 2024-Oct-25 19:08 |
policycoreutils-3.6-r1.apk | 50.0 KiB | 2024-Oct-25 19:08 |
policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-Oct-25 19:08 |
plib-1.8.5-r3.apk | 836.2 KiB | 2024-Oct-25 19:08 |
pixi-bash-completion-0.24.2-r0.apk | 7.2 KiB | 2024-Oct-25 19:08 |
plplot-5.15.0-r2.apk | 31.2 KiB | 2024-Oct-25 19:08 |
pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2024-Oct-25 19:08 |
plplot-doc-5.15.0-r2.apk | 310.6 KiB | 2024-Oct-25 19:08 |
pimd-dense-2.1.0-r0.apk | 46.1 KiB | 2024-Oct-25 19:08 |
pimd-dense-openrc-2.1.0-r0.apk | 1.9 KiB | 2024-Oct-25 19:08 |
polyglot-doc-2.0.4-r1.apk | 47.6 KiB | 2024-Oct-25 19:08 |
pimd-3.0_git20220201-r0.apk | 76.3 KiB | 2024-Oct-25 19:08 |
pigpio-dev-79-r4.apk | 90.8 KiB | 2024-Oct-25 19:08 |
platformio-core-6.1.7-r3.apk | 262.5 KiB | 2024-Oct-25 19:08 |
pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-Oct-25 19:08 |
platformio-core-pyc-6.1.7-r3.apk | 551.8 KiB | 2024-Oct-25 19:08 |
piping-server-0.18.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:08 |
pigpio-79-r4.apk | 200.5 KiB | 2024-Oct-25 19:08 |
pnmixer-doc-0.7.2-r3.apk | 2.3 KiB | 2024-Oct-25 19:08 |
policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-Oct-25 19:08 |
policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-Oct-25 19:08 |
pnmixer-0.7.2-r3.apk | 137.7 KiB | 2024-Oct-25 19:08 |
pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-Oct-25 19:08 |
pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-Oct-25 19:08 |
piping-server-openrc-0.18.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:08 |
pigpio-openrc-79-r4.apk | 1.6 KiB | 2024-Oct-25 19:08 |
plplot-libs-5.15.0-r2.apk | 176.0 KiB | 2024-Oct-25 19:08 |
pongoos-loader-0_git20210704-r1.apk | 2.4 KiB | 2024-Oct-25 19:08 |
pipectl-doc-0.4.1-r1.apk | 3.0 KiB | 2024-Oct-25 19:08 |
pithos-pyc-1.6.1-r0.apk | 154.3 KiB | 2024-Oct-25 19:08 |
pixi-zsh-completion-0.24.2-r0.apk | 10.4 KiB | 2024-Oct-25 19:08 |
pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-Oct-25 19:08 |
polyglot-2.0.4-r1.apk | 59.5 KiB | 2024-Oct-25 19:08 |
please-doc-0.5.5-r0.apk | 15.8 KiB | 2024-Oct-25 19:08 |
pixi-doc-0.24.2-r0.apk | 6.9 KiB | 2024-Oct-25 19:08 |
pithos-1.6.1-r0.apk | 104.5 KiB | 2024-Oct-25 19:08 |
pokoy-0.2.5-r0.apk | 8.2 KiB | 2024-Oct-25 19:08 |
pmccabe-2.8-r1.apk | 23.5 KiB | 2024-Oct-25 19:08 |
please-0.5.5-r0.apk | 894.3 KiB | 2024-Oct-25 19:08 |
pixi-fish-completion-0.24.2-r0.apk | 10.1 KiB | 2024-Oct-25 19:08 |
pipectl-0.4.1-r1.apk | 5.4 KiB | 2024-Oct-25 19:08 |
pnmixer-lang-0.7.2-r3.apk | 24.8 KiB | 2024-Oct-25 19:08 |
pigpio-doc-79-r4.apk | 115.3 KiB | 2024-Oct-25 19:08 |
pixi-0.24.2-r0.apk | 7.8 MiB | 2024-Oct-25 19:08 |
pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2024-Oct-25 19:08 |
plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-Oct-25 19:08 |
pidif-0.1-r1.apk | 140.6 KiB | 2024-Oct-25 19:08 |
php84-pecl-oauth-2.0.9-r0.apk | 33.4 KiB | 2024-Oct-25 19:08 |
php84-pecl-ev-1.2.0-r1.apk | 36.1 KiB | 2024-Oct-25 19:08 |
pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
pick-4.0.0-r0.apk | 9.2 KiB | 2024-Oct-25 19:08 |
php82-pecl-apfd-1.0.3-r0.apk | 4.3 KiB | 2024-Oct-25 19:08 |
php83-pecl-uv-0.3.0-r0.apk | 48.3 KiB | 2024-Oct-25 19:08 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6.6 KiB | 2024-Oct-25 19:08 |
php83-pecl-oauth-2.0.9-r0.apk | 33.3 KiB | 2024-Oct-25 19:08 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 30.9 KiB | 2024-Oct-25 19:08 |
php83-pecl-apfd-1.0.3-r0.apk | 4.3 KiB | 2024-Oct-25 19:08 |
php81-pecl-yaml-2.2.4-r0.apk | 16.6 KiB | 2024-Oct-25 19:08 |
php81-pecl-luasandbox-4.1.2-r0.apk | 27.4 KiB | 2024-Oct-25 19:08 |
php81-pecl-mailparse-3.1.8-r0.apk | 20.3 KiB | 2024-Oct-25 19:08 |
php81-pecl-msgpack-3.0.0-r0.apk | 25.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-ssh2-1.4.1-r0.apk | 25.9 KiB | 2024-Oct-25 19:08 |
php81-pecl-igbinary-3.2.16-r0.apk | 27.5 KiB | 2024-Oct-25 19:08 |
php83-pecl-ev-1.2.0-r0.apk | 36.1 KiB | 2024-Oct-25 19:08 |
php83-pecl-zmq-1.1.4-r0.apk | 28.8 KiB | 2024-Oct-25 19:08 |
php81-pecl-jsmin-3.0.0-r0.apk | 9.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-memcache-8.2-r1.apk | 39.0 KiB | 2024-Oct-25 19:08 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-Oct-25 19:08 |
php81-pecl-oauth-2.0.9-r0.apk | 33.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 35.8 KiB | 2024-Oct-25 19:08 |
php82-pecl-teds-1.3.0-r0.apk | 101.5 KiB | 2024-Oct-25 19:08 |
php82-pecl-vld-0.18.0-r0.apk | 14.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-lzf-1.7.0-r0.apk | 6.4 KiB | 2024-Oct-25 19:08 |
php83-pecl-eio-3.1.3-r0.apk | 26.0 KiB | 2024-Oct-25 19:08 |
php83-pecl-jsmin-3.0.0-r0.apk | 9.1 KiB | 2024-Oct-25 19:08 |
php81-tideways_xhprof-5.0.4-r1.apk | 12.2 KiB | 2024-Oct-25 19:08 |
php83-pecl-vld-0.18.0-r1.apk | 13.8 KiB | 2024-Oct-25 19:08 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-Oct-25 19:08 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 36.0 KiB | 2024-Oct-25 19:08 |
php82-pecl-oauth-2.0.9-r0.apk | 33.3 KiB | 2024-Oct-25 19:08 |
php82-pecl-jsmin-3.0.0-r0.apk | 9.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-memcached-3.3.0-r0.apk | 43.0 KiB | 2024-Oct-25 19:08 |
php82-pdlib-1.1.0-r1.apk | 471.4 KiB | 2024-Oct-25 19:08 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 26.5 KiB | 2024-Oct-25 19:08 |
php81-pecl-xhprof-2.3.10-r0.apk | 11.3 KiB | 2024-Oct-25 19:08 |
php81-pecl-mcrypt-1.0.7-r0.apk | 14.4 KiB | 2024-Oct-25 19:08 |
php81-pecl-psr-1.2.0-r0.apk | 17.3 KiB | 2024-Oct-25 19:08 |
perl-anyevent-riperedis-0.48-r0.apk | 12.4 KiB | 2024-Oct-25 19:08 |
perl-math-libm-doc-1.00-r14.apk | 3.1 KiB | 2024-Oct-25 19:08 |
peervpn-0.044-r5.apk | 36.3 KiB | 2024-Oct-25 19:08 |
perl-check-unitcheck-doc-0.13-r1.apk | 3.6 KiB | 2024-Oct-25 19:08 |
perl-hash-ordered-doc-0.014-r0.apk | 19.2 KiB | 2024-Oct-25 19:08 |
perl-dns-unbound-0.29-r1.apk | 22.3 KiB | 2024-Oct-25 19:08 |
perl-test-expander-doc-2.5.1-r0.apk | 20.1 KiB | 2024-Oct-25 19:08 |
phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-Oct-25 19:08 |
perl-time-moment-0.44-r0.apk | 37.2 KiB | 2024-Oct-25 19:08 |
perl-barcode-zbar-0.10-r3.apk | 28.1 KiB | 2024-Oct-25 19:08 |
perl-net-idn-encode-2.500-r1.apk | 83.6 KiB | 2024-Oct-25 19:08 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-Oct-25 19:08 |
perl-mojo-redis-3.29-r0.apk | 25.2 KiB | 2024-Oct-25 19:08 |
perl-sort-versions-doc-1.62-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-Oct-25 19:08 |
perl-rxperl-doc-6.29.8-r0.apk | 22.6 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
perl-bind-config-parser-0.01-r5.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-flowd-doc-0.9.1-r10.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-gearman-doc-2.004.015-r3.apk | 19.8 KiB | 2024-Oct-25 19:08 |
perl-promise-xs-doc-0.20-r1.apk | 8.7 KiB | 2024-Oct-25 19:08 |
perl-b-hooks-op-check-doc-0.22-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-test-useallmodules-doc-0.17-r1.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-Oct-25 19:08 |
perl-adapter-async-doc-0.019-r0.apk | 16.7 KiB | 2024-Oct-25 19:08 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-Oct-25 19:08 |
perl-net-async-redis-xs-doc-1.001-r1.apk | 5.3 KiB | 2024-Oct-25 19:08 |
perl-devel-refcount-doc-0.10-r1.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-Oct-25 19:08 |
perl-http-thin-doc-0.006-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-sort-versions-1.62-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-Oct-25 19:08 |
perl-netaddr-mac-0.98-r1.apk | 10.8 KiB | 2024-Oct-25 19:08 |
perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-Oct-25 19:08 |
perl-url-encode-0.03-r4.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-syntax-operator-equ-doc-0.10-r0.apk | 6.5 KiB | 2024-Oct-25 19:08 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3.3 KiB | 2024-Oct-25 19:08 |
perl-ref-util-xs-doc-0.117-r8.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6.3 KiB | 2024-Oct-25 19:08 |
perl-test-files-0.26-r0.apk | 6.7 KiB | 2024-Oct-25 19:08 |
perl-ryu-async-doc-0.020-r0.apk | 11.8 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-menu-0.16-r0.apk | 7.2 KiB | 2024-Oct-25 19:08 |
perl-promise-es6-0.28-r0.apk | 10.7 KiB | 2024-Oct-25 19:08 |
perl-xml-stream-1.24-r0.apk | 44.0 KiB | 2024-Oct-25 19:08 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.6 KiB | 2024-Oct-25 19:08 |
perl-cairo-1.109-r4.apk | 65.9 KiB | 2024-Oct-25 19:08 |
perl-graphql-client-cli-0.605-r0.apk | 7.8 KiB | 2024-Oct-25 19:08 |
perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-Oct-25 19:08 |
perl-bareword-filehandles-0.007-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-proc-guard-0.07-r4.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-text-table-any-doc-0.117-r0.apk | 6.6 KiB | 2024-Oct-25 19:08 |
perl-future-asyncawait-hooks-0.02-r0.apk | 7.4 KiB | 2024-Oct-25 19:08 |
perl-test2-tools-explain-0.02-r0.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-signature-attribute-checked-doc-0.06-r0.apk | 4.6 KiB | 2024-Oct-25 19:08 |
perl-minion-doc-10.31-r0.apk | 49.0 KiB | 2024-Oct-25 19:08 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 4.0 KiB | 2024-Oct-25 19:08 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-Oct-25 19:08 |
perl-test-expander-2.5.1-r0.apk | 7.1 KiB | 2024-Oct-25 19:08 |
perl-uri-nested-0.10-r0.apk | 4.0 KiB | 2024-Oct-25 19:08 |
perl-future-http-doc-0.17-r0.apk | 15.7 KiB | 2024-Oct-25 19:08 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-uri-redis-doc-0.02-r0.apk | 4.5 KiB | 2024-Oct-25 19:08 |
perl-future-q-0.120-r0.apk | 9.6 KiB | 2024-Oct-25 19:08 |
perl-autobox-3.0.2-r0.apk | 17.7 KiB | 2024-Oct-25 19:08 |
perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-Oct-25 19:08 |
perl-freezethaw-0.5001-r2.apk | 9.8 KiB | 2024-Oct-25 19:08 |
perl-test-randomresult-doc-0.001-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-list-binarysearch-doc-0.25-r0.apk | 11.5 KiB | 2024-Oct-25 19:08 |
perl-test-unit-doc-0.27-r0.apk | 48.3 KiB | 2024-Oct-25 19:08 |
perl-time-moment-doc-0.44-r0.apk | 30.9 KiB | 2024-Oct-25 19:08 |
perl-perlio-locale-0.10-r12.apk | 4.3 KiB | 2024-Oct-25 19:08 |
perl-net-jabber-2.0-r0.apk | 50.6 KiB | 2024-Oct-25 19:08 |
perl-adapter-async-0.019-r0.apk | 8.1 KiB | 2024-Oct-25 19:08 |
perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-Oct-25 19:08 |
perl-check-unitcheck-0.13-r1.apk | 5.6 KiB | 2024-Oct-25 19:08 |
pest-language-server-0.3.9-r0.apk | 987.1 KiB | 2024-Oct-25 19:08 |
perl-anyevent-future-doc-0.05-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6.9 KiB | 2024-Oct-25 19:08 |
perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-Oct-25 19:08 |
perl-net-amqp-rabbitmq-2.40012-r0.apk | 69.0 KiB | 2024-Oct-25 19:08 |
perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-Oct-25 19:08 |
php81-pecl-decimal-1.5.0-r1.apk | 16.8 KiB | 2024-Oct-25 19:08 |
perl-log-fu-doc-0.31-r4.apk | 7.2 KiB | 2024-Oct-25 19:08 |
perl-cgi-expand-doc-2.05-r4.apk | 6.1 KiB | 2024-Oct-25 19:08 |
perl-lib-abs-doc-0.95-r0.apk | 4.0 KiB | 2024-Oct-25 19:08 |
perl-sort-naturally-doc-1.03-r4.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-css-object-0.2.0-r0.apk | 22.8 KiB | 2024-Oct-25 19:08 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.4 KiB | 2024-Oct-25 19:08 |
perl-test-settings-0.003-r0.apk | 4.9 KiB | 2024-Oct-25 19:08 |
perl-net-patricia-doc-1.22-r12.apk | 6.0 KiB | 2024-Oct-25 19:08 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10.3 KiB | 2024-Oct-25 19:08 |
perl-io-sessiondata-1.03-r3.apk | 5.7 KiB | 2024-Oct-25 19:08 |
perl-x-tiny-doc-0.22-r0.apk | 7.6 KiB | 2024-Oct-25 19:08 |
perl-uri-tcp-doc-2.0.0-r0.apk | 4.9 KiB | 2024-Oct-25 19:08 |
perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-Oct-25 19:08 |
perl-xml-atom-0.43-r0.apk | 19.6 KiB | 2024-Oct-25 19:08 |
perl-http-xsheaders-doc-0.400005-r1.apk | 6.3 KiB | 2024-Oct-25 19:08 |
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk | 1.9 KiB | 2024-Oct-25 19:08 |
perl-dns-unbound-ioasync-0.29-r1.apk | 2.4 KiB | 2024-Oct-25 19:08 |
perl-minion-10.31-r0.apk | 1.5 MiB | 2024-Oct-25 19:08 |
perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-Oct-25 19:08 |
perl-gtk2-ex-widgetbits-48-r3.apk | 65.7 KiB | 2024-Oct-25 19:08 |
perl-math-random-0.72-r0.apk | 33.2 KiB | 2024-Oct-25 19:08 |
perl-net-jabber-bot-2.1.7-r0.apk | 13.5 KiB | 2024-Oct-25 19:08 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4.7 KiB | 2024-Oct-25 19:08 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-Oct-25 19:08 |
perl-cpan-changes-0.500004-r0.apk | 13.7 KiB | 2024-Oct-25 19:08 |
pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-Oct-25 19:08 |
perl-pod-cpandoc-0.16-r6.apk | 4.6 KiB | 2024-Oct-25 19:08 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-Oct-25 19:08 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-Oct-25 19:08 |
perl-lib-abs-0.95-r0.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-dns-unbound-anyevent-0.29-r1.apk | 2.3 KiB | 2024-Oct-25 19:08 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7.6 KiB | 2024-Oct-25 19:08 |
perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-Oct-25 19:08 |
perl-string-crc32-2.100-r4.apk | 6.6 KiB | 2024-Oct-25 19:08 |
perl-test-requires-git-doc-1.008-r0.apk | 4.4 KiB | 2024-Oct-25 19:08 |
perl-guard-1.023-r9.apk | 8.0 KiB | 2024-Oct-25 19:08 |
perl-module-build-prereqs-fromcpanfile-doc-0.02..> | 3.8 KiB | 2024-Oct-25 19:08 |
perl-ryu-async-0.020-r0.apk | 7.5 KiB | 2024-Oct-25 19:08 |
perl-future-http-0.17-r0.apk | 9.2 KiB | 2024-Oct-25 19:08 |
perl-cache-lru-0.04-r0.apk | 2.9 KiB | 2024-Oct-25 19:08 |
perl-constant-generate-0.17-r5.apk | 8.7 KiB | 2024-Oct-25 19:08 |
perl-net-jabber-doc-2.0-r0.apk | 48.3 KiB | 2024-Oct-25 19:08 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-Oct-25 19:08 |
perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-Oct-25 19:08 |
perl-pango-doc-1.227-r11.apk | 80.9 KiB | 2024-Oct-25 19:08 |
perl-syntax-operator-equ-0.10-r0.apk | 7.7 KiB | 2024-Oct-25 19:08 |
perl-lwp-online-doc-1.08-r0.apk | 5.7 KiB | 2024-Oct-25 19:08 |
pdfcrack-0.20-r0.apk | 22.3 KiB | 2024-Oct-25 19:08 |
percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-Oct-25 19:08 |
perl-bareword-filehandles-doc-0.007-r0.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-test-distribution-2.00-r1.apk | 7.7 KiB | 2024-Oct-25 19:08 |
perl-net-irr-doc-0.10-r0.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-role-eventemitter-doc-0.003-r0.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-mastodon-client-doc-0.017-r0.apk | 33.0 KiB | 2024-Oct-25 19:08 |
perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-Oct-25 19:08 |
perl-bytes-random-secure-0.29-r0.apk | 14.3 KiB | 2024-Oct-25 19:08 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-Oct-25 19:08 |
perl-test-toolbox-doc-0.4-r5.apk | 6.2 KiB | 2024-Oct-25 19:08 |
perl-uri-tcp-2.0.0-r0.apk | 2.7 KiB | 2024-Oct-25 19:08 |
perl-object-array-doc-0.060-r0.apk | 7.0 KiB | 2024-Oct-25 19:08 |
perl-protocol-redis-faster-0.003-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-string-compare-constanttime-0.321-r6.apk | 7.0 KiB | 2024-Oct-25 19:08 |
perl-bsd-resource-1.2911-r10.apk | 19.1 KiB | 2024-Oct-25 19:08 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10.1 KiB | 2024-Oct-25 19:08 |
perl-email-abstract-3.010-r0.apk | 7.6 KiB | 2024-Oct-25 19:08 |
perl-feed-find-0.13-r0.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-guard-doc-1.023-r9.apk | 5.3 KiB | 2024-Oct-25 19:08 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12.1 KiB | 2024-Oct-25 19:08 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.7 KiB | 2024-Oct-25 19:08 |
perl-cache-lru-doc-0.04-r0.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-uri-redis-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-io-lambda-doc-1.34-r0.apk | 67.9 KiB | 2024-Oct-25 19:08 |
perl-multidimensional-doc-0.014-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-Oct-25 19:08 |
perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-Oct-25 19:08 |
perl-git-version-compare-1.005-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
perl-role-eventemitter-0.003-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-promise-es6-io-async-0.28-r0.apk | 2.9 KiB | 2024-Oct-25 19:08 |
perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-Oct-25 19:08 |
perl-file-mmagic-xs-doc-0.09008-r4.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-math-int64-doc-0.57-r1.apk | 10.4 KiB | 2024-Oct-25 19:08 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.8 KiB | 2024-Oct-25 19:08 |
phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-Oct-25 19:08 |
perl-context-preserve-0.03-r4.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4.4 KiB | 2024-Oct-25 19:08 |
php81-pecl-ast-1.1.2-r0.apk | 19.7 KiB | 2024-Oct-25 19:08 |
perl-http-xsheaders-0.400005-r1.apk | 16.6 KiB | 2024-Oct-25 19:08 |
perl-data-validate-ip-doc-0.31-r1.apk | 5.8 KiB | 2024-Oct-25 19:08 |
perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-Oct-25 19:08 |
perl-gtk2-doc-1.24993-r6.apk | 670.4 KiB | 2024-Oct-25 19:08 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.0 KiB | 2024-Oct-25 19:08 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9.1 KiB | 2024-Oct-25 19:08 |
perl-future-queue-0.52-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-Oct-25 19:08 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:08 |
perl-protocol-database-postgresql-2.001-r0.apk | 19.2 KiB | 2024-Oct-25 19:08 |
perl-test-api-doc-0.010-r2.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-daemon-control-doc-0.001010-r2.apk | 8.2 KiB | 2024-Oct-25 19:08 |
perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-devel-confess-doc-0.009004-r0.apk | 6.6 KiB | 2024-Oct-25 19:08 |
perl-algorithm-backoff-0.010-r0.apk | 9.6 KiB | 2024-Oct-25 19:08 |
perl-anyevent-future-0.05-r0.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-net-xmpp-doc-1.05-r0.apk | 43.6 KiB | 2024-Oct-25 19:08 |
perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-Oct-25 19:08 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-sys-syscall-0.25-r10.apk | 5.3 KiB | 2024-Oct-25 19:08 |
perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-Oct-25 19:08 |
percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-Oct-25 19:08 |
perl-system-command-doc-1.122-r0.apk | 10.2 KiB | 2024-Oct-25 19:08 |
perl-snmp-5.0404-r13.apk | 64.0 KiB | 2024-Oct-25 19:08 |
perl-uri-fetch-doc-0.15-r0.apk | 7.5 KiB | 2024-Oct-25 19:08 |
perl-barcode-zbar-doc-0.10-r3.apk | 12.6 KiB | 2024-Oct-25 19:08 |
perl-openapi-client-doc-1.07-r0.apk | 7.3 KiB | 2024-Oct-25 19:08 |
perl-extutils-makemaker-7.70-r2.apk | 174.7 KiB | 2024-Oct-25 19:08 |
perl-xml-atom-doc-0.43-r0.apk | 15.9 KiB | 2024-Oct-25 19:08 |
perl-html-selector-xpath-doc-0.28-r0.apk | 4.0 KiB | 2024-Oct-25 19:08 |
perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-Oct-25 19:08 |
perl-signature-attribute-checked-0.06-r0.apk | 7.4 KiB | 2024-Oct-25 19:08 |
perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-Oct-25 19:08 |
perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-Oct-25 19:08 |
perl-test-settings-doc-0.003-r0.apk | 6.0 KiB | 2024-Oct-25 19:08 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11.6 KiB | 2024-Oct-25 19:08 |
perl-text-table-any-0.117-r0.apk | 8.1 KiB | 2024-Oct-25 19:08 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk | 9.7 KiB | 2024-Oct-25 19:08 |
perl-sort-naturally-1.03-r4.apk | 8.6 KiB | 2024-Oct-25 19:08 |
perl-glib-ex-objectbits-17-r0.apk | 15.0 KiB | 2024-Oct-25 19:08 |
perl-test-memorygrowth-0.05-r0.apk | 6.4 KiB | 2024-Oct-25 19:08 |
perl-minion-backend-pg-10.31-r0.apk | 9.6 KiB | 2024-Oct-25 19:08 |
perl-test-trap-0.3.5-r1.apk | 19.7 KiB | 2024-Oct-25 19:08 |
perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-Oct-25 19:08 |
perl-scalar-readonly-doc-0.03-r1.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-future-asyncawait-hooks-doc-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-list-binarysearch-xs-doc-0.09-r1.apk | 8.1 KiB | 2024-Oct-25 19:08 |
perl-devel-confess-0.009004-r0.apk | 11.4 KiB | 2024-Oct-25 19:08 |
perl-string-random-doc-0.32-r2.apk | 6.2 KiB | 2024-Oct-25 19:08 |
perl-html-selector-xpath-0.28-r0.apk | 6.2 KiB | 2024-Oct-25 19:08 |
pegasus-frontend-doc-16_alpha-r0.apk | 16.2 KiB | 2024-Oct-25 19:08 |
perl-test-describeme-doc-0.004-r0.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-io-lambda-1.34-r0.apk | 75.5 KiB | 2024-Oct-25 19:08 |
perl-test-requires-git-1.008-r0.apk | 4.8 KiB | 2024-Oct-25 19:08 |
perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-Oct-25 19:08 |
perl-protocol-redis-doc-1.0021-r0.apk | 5.0 KiB | 2024-Oct-25 19:08 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22.4 KiB | 2024-Oct-25 19:08 |
perl-dns-unbound-doc-0.29-r1.apk | 15.3 KiB | 2024-Oct-25 19:08 |
perl-bsd-resource-doc-1.2911-r10.apk | 7.9 KiB | 2024-Oct-25 19:08 |
perl-full-1.004-r0.apk | 7.1 KiB | 2024-Oct-25 19:08 |
perl-html-object-doc-0.5.1-r0.apk | 471.9 KiB | 2024-Oct-25 19:08 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3.5 KiB | 2024-Oct-25 19:08 |
perl-syntax-operator-in-doc-0.10-r0.apk | 5.8 KiB | 2024-Oct-25 19:08 |
perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-Oct-25 19:08 |
perl-uri-nested-doc-0.10-r0.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-email-abstract-doc-3.010-r0.apk | 12.9 KiB | 2024-Oct-25 19:08 |
perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-Oct-25 19:08 |
perl-data-validate-domain-doc-0.15-r0.apk | 5.5 KiB | 2024-Oct-25 19:08 |
perl-snmp-doc-5.0404-r13.apk | 14.0 KiB | 2024-Oct-25 19:08 |
perl-test-describeme-0.004-r0.apk | 3.6 KiB | 2024-Oct-25 19:08 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.8 KiB | 2024-Oct-25 19:08 |
perl-math-libm-1.00-r14.apk | 9.0 KiB | 2024-Oct-25 19:08 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-event-3.1.4-r0.apk | 45.8 KiB | 2024-Oct-25 19:08 |
perl-aliased-0.34-r4.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.3 KiB | 2024-Oct-25 19:08 |
perl-devel-leak-0.03-r13.apk | 6.2 KiB | 2024-Oct-25 19:08 |
perl-test-useallmodules-0.17-r1.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-future-q-doc-0.120-r0.apk | 9.1 KiB | 2024-Oct-25 19:08 |
perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-Oct-25 19:08 |
perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-Oct-25 19:08 |
perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-Oct-25 19:08 |
perl-gearman-2.004.015-r3.apk | 27.4 KiB | 2024-Oct-25 19:08 |
perl-net-idn-encode-doc-2.500-r1.apk | 21.7 KiB | 2024-Oct-25 19:08 |
perl-string-compare-constanttime-doc-0.321-r6.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-crypt-saltedhash-0.09-r5.apk | 6.9 KiB | 2024-Oct-25 19:08 |
perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-datetime-timezone-alias-0.06-r0.apk | 2.5 KiB | 2024-Oct-25 19:08 |
perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-glib-object-introspection-doc-0.051-r1.apk | 11.1 KiB | 2024-Oct-25 19:08 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.2 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-entry-plugin-completion-0.02..> | 4.6 KiB | 2024-Oct-25 19:08 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8.8 KiB | 2024-Oct-25 19:08 |
perl-extutils-xsbuilder-0.28-r5.apk | 43.0 KiB | 2024-Oct-25 19:08 |
perl-lwp-online-1.08-r0.apk | 6.1 KiB | 2024-Oct-25 19:08 |
perl-perlio-locale-doc-0.10-r12.apk | 3.0 KiB | 2024-Oct-25 19:08 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-Oct-25 19:08 |
perl-glib-object-introspection-0.051-r1.apk | 53.7 KiB | 2024-Oct-25 19:08 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8.3 KiB | 2024-Oct-25 19:08 |
peg-doc-0.1.18-r1.apk | 13.7 KiB | 2024-Oct-25 19:08 |
perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29.5 KiB | 2024-Oct-25 19:08 |
perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-list-keywords-doc-0.11-r0.apk | 5.5 KiB | 2024-Oct-25 19:08 |
perl-mastodon-client-0.017-r0.apk | 22.0 KiB | 2024-Oct-25 19:08 |
perl-syntax-keyword-match-doc-0.15-r0.apk | 7.8 KiB | 2024-Oct-25 19:08 |
perl-time-moment-role-timezone-1.000-r0.apk | 3.6 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-Oct-25 19:08 |
perl-term-size-doc-0.211-r4.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 35.8 KiB | 2024-Oct-25 19:08 |
perl-types-path-tiny-0.006-r0.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-choice-0.07-r0.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-test-unit-0.27-r0.apk | 36.8 KiB | 2024-Oct-25 19:08 |
perl-cpan-changes-doc-0.500004-r0.apk | 18.2 KiB | 2024-Oct-25 19:08 |
perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-Oct-25 19:08 |
perl-xml-stream-doc-1.24-r0.apk | 17.6 KiB | 2024-Oct-25 19:08 |
perl-gtk2-1.24993-r6.apk | 787.3 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.5 KiB | 2024-Oct-25 19:08 |
perl-number-misc-doc-1.2-r5.apk | 4.4 KiB | 2024-Oct-25 19:08 |
perl-conf-libconfig-1.0.3-r0.apk | 21.7 KiB | 2024-Oct-25 19:08 |
perl-digest-crc-doc-0.24-r1.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-promise-es6-doc-0.28-r0.apk | 12.1 KiB | 2024-Oct-25 19:08 |
perl-math-random-doc-0.72-r0.apk | 10.8 KiB | 2024-Oct-25 19:08 |
perl-test-lwp-useragent-0.036-r0.apk | 9.8 KiB | 2024-Oct-25 19:08 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.5 KiB | 2024-Oct-25 19:08 |
perl-test-modern-doc-0.013-r3.apk | 9.8 KiB | 2024-Oct-25 19:08 |
perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-Oct-25 19:08 |
perl-cairo-gobject-1.005-r4.apk | 6.0 KiB | 2024-Oct-25 19:08 |
perl-net-address-ip-local-0.1.2-r0.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-Oct-25 19:08 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2.7 KiB | 2024-Oct-25 19:08 |
perl-storable-improved-0.1.3-r0.apk | 6.7 KiB | 2024-Oct-25 19:08 |
perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-Oct-25 19:08 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-Oct-25 19:08 |
perl-algorithm-permute-doc-0.17-r0.apk | 5.0 KiB | 2024-Oct-25 19:08 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-test-class-tiny-doc-0.03-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-data-checks-0.10-r0.apk | 19.5 KiB | 2024-Oct-25 19:08 |
perl-test-files-doc-0.26-r0.apk | 14.6 KiB | 2024-Oct-25 19:08 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk | 4.5 KiB | 2024-Oct-25 19:08 |
perl-crypt-random-seed-0.03-r0.apk | 11.2 KiB | 2024-Oct-25 19:08 |
perl-test-timer-2.12-r2.apk | 8.9 KiB | 2024-Oct-25 19:08 |
perl-types-path-tiny-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 19:08 |
perl-database-async-0.019-r0.apk | 22.8 KiB | 2024-Oct-25 19:08 |
perl-mojo-sqlite-3.009-r0.apk | 15.9 KiB | 2024-Oct-25 19:08 |
perl-autobox-doc-3.0.2-r0.apk | 8.8 KiB | 2024-Oct-25 19:08 |
perl-promise-me-0.5.0-r0.apk | 25.6 KiB | 2024-Oct-25 19:08 |
perl-indirect-doc-0.39-r1.apk | 6.4 KiB | 2024-Oct-25 19:08 |
perl-datetime-timezone-catalog-extend-doc-0.3.3..> | 15.0 KiB | 2024-Oct-25 19:08 |
perl-gtk3-0.038-r1.apk | 19.6 KiB | 2024-Oct-25 19:08 |
perl-css-object-doc-0.2.0-r0.apk | 32.6 KiB | 2024-Oct-25 19:08 |
perl-number-format-1.76-r1.apk | 15.2 KiB | 2024-Oct-25 19:08 |
perl-test-class-tiny-0.03-r0.apk | 5.9 KiB | 2024-Oct-25 19:08 |
perl-b-hooks-op-check-0.22-r0.apk | 6.2 KiB | 2024-Oct-25 19:08 |
perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-Oct-25 19:08 |
peg-0.1.18-r1.apk | 31.7 KiB | 2024-Oct-25 19:08 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-Oct-25 19:08 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 4.0 KiB | 2024-Oct-25 19:08 |
perl-freezethaw-doc-0.5001-r2.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-cairo-gobject-doc-1.005-r4.apk | 2.9 KiB | 2024-Oct-25 19:08 |
perl-list-binarysearch-xs-0.09-r1.apk | 11.4 KiB | 2024-Oct-25 19:08 |
perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-Oct-25 19:08 |
perl-indirect-0.39-r1.apk | 13.8 KiB | 2024-Oct-25 19:08 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.1 KiB | 2024-Oct-25 19:08 |
perl-xml-feed-0.65-r0.apk | 13.8 KiB | 2024-Oct-25 19:08 |
perl-data-checks-doc-0.10-r0.apk | 8.2 KiB | 2024-Oct-25 19:08 |
perl-http-thin-0.006-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
perl-database-async-engine-postgresql-doc-1.005..> | 9.3 KiB | 2024-Oct-25 19:08 |
perl-data-validate-domain-0.15-r0.apk | 5.8 KiB | 2024-Oct-25 19:08 |
perl-rxperl-mojo-6.8.2-r0.apk | 2.8 KiB | 2024-Oct-25 19:08 |
peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-Oct-25 19:08 |
perl-list-keywords-0.11-r0.apk | 13.0 KiB | 2024-Oct-25 19:08 |
perl-log-message-simple-doc-0.10-r3.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.4 KiB | 2024-Oct-25 19:08 |
perl-scalar-readonly-0.03-r1.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-gtk3-doc-0.038-r1.apk | 9.1 KiB | 2024-Oct-25 19:08 |
perl-storable-improved-doc-0.1.3-r0.apk | 6.9 KiB | 2024-Oct-25 19:08 |
perl-object-pad-fieldattr-checked-0.12-r0.apk | 7.8 KiB | 2024-Oct-25 19:08 |
perl-x-tiny-0.22-r0.apk | 6.9 KiB | 2024-Oct-25 19:08 |
perl-opentracing-1.006-r0.apk | 18.0 KiB | 2024-Oct-25 19:08 |
perl-minion-backend-redis-0.003-r0.apk | 10.5 KiB | 2024-Oct-25 19:08 |
perl-number-misc-1.2-r5.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-graphql-client-doc-0.605-r0.apk | 14.0 KiB | 2024-Oct-25 19:08 |
perl-musicbrainz-discid-doc-0.06-r1.apk | 4.3 KiB | 2024-Oct-25 19:08 |
perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-Oct-25 19:08 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-Oct-25 19:08 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5.3 KiB | 2024-Oct-25 19:08 |
perl-log-message-doc-0.08-r3.apk | 12.1 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-entry-plugin-completion-doc-..> | 3.8 KiB | 2024-Oct-25 19:08 |
perl-multidimensional-0.014-r0.apk | 4.6 KiB | 2024-Oct-25 19:08 |
perl-net-curl-promiser-0.20-r0.apk | 8.8 KiB | 2024-Oct-25 19:08 |
perl-color-ansi-util-doc-0.165-r0.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4.7 KiB | 2024-Oct-25 19:08 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8.4 KiB | 2024-Oct-25 19:08 |
perl-pod-tidy-doc-0.10-r1.apk | 10.5 KiB | 2024-Oct-25 19:08 |
perl-path-iter-doc-0.2-r3.apk | 5.1 KiB | 2024-Oct-25 19:08 |
perl-promise-me-doc-0.5.0-r0.apk | 12.0 KiB | 2024-Oct-25 19:08 |
perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-Oct-25 19:08 |
perl-list-binarysearch-0.25-r0.apk | 9.9 KiB | 2024-Oct-25 19:08 |
perl-syntax-operator-in-0.10-r0.apk | 9.0 KiB | 2024-Oct-25 19:08 |
perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-Oct-25 19:08 |
perl-time-moment-role-strptime-0.001-r0.apk | 2.7 KiB | 2024-Oct-25 19:08 |
perl-cgi-expand-2.05-r4.apk | 6.8 KiB | 2024-Oct-25 19:08 |
perl-git-repository-1.325-r0.apk | 16.3 KiB | 2024-Oct-25 19:08 |
perl-class-c3-0.35-r1.apk | 9.4 KiB | 2024-Oct-25 19:08 |
perl-file-mmagic-xs-0.09008-r4.apk | 26.6 KiB | 2024-Oct-25 19:08 |
perl-variable-disposition-0.005-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
perl-test-timer-doc-2.12-r2.apk | 8.4 KiB | 2024-Oct-25 19:08 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-Oct-25 19:08 |
perl-variable-disposition-doc-0.005-r0.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6.6 KiB | 2024-Oct-25 19:08 |
perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-Oct-25 19:08 |
perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-Oct-25 19:08 |
perl-xml-parser-style-easytree-0.09-r0.apk | 5.0 KiB | 2024-Oct-25 19:08 |
perl-math-random-isaac-xs-doc-1.004-r8.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-hash-ordered-0.014-r0.apk | 9.8 KiB | 2024-Oct-25 19:08 |
perl-test-randomresult-0.001-r0.apk | 3.5 KiB | 2024-Oct-25 19:08 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19.3 KiB | 2024-Oct-25 19:08 |
perl-text-table-sprintf-0.008-r0.apk | 5.3 KiB | 2024-Oct-25 19:08 |
perl-constant-defer-doc-6-r5.apk | 6.9 KiB | 2024-Oct-25 19:08 |
perl-soap-lite-doc-1.27-r5.apk | 90.4 KiB | 2024-Oct-25 19:08 |
perl-ppi-xs-0.910-r1.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-class-inner-0.200001-r5.apk | 3.9 KiB | 2024-Oct-25 19:08 |
perl-ffi-c-0.15-r0.apk | 19.8 KiB | 2024-Oct-25 19:08 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-Oct-25 19:08 |
perl-term-size-0.211-r4.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-Oct-25 19:08 |
perl-math-int64-0.57-r1.apk | 27.4 KiB | 2024-Oct-25 19:08 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.7 KiB | 2024-Oct-25 19:08 |
perl-devel-leak-doc-0.03-r13.apk | 3.3 KiB | 2024-Oct-25 19:08 |
perl-feed-find-doc-0.13-r0.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-future-queue-doc-0.52-r0.apk | 4.3 KiB | 2024-Oct-25 19:08 |
perl-protocol-redis-1.0021-r0.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-Oct-25 19:08 |
php81-pecl-amqp-2.1.2-r0.apk | 55.0 KiB | 2024-Oct-25 19:08 |
perl-promise-xs-0.20-r1.apk | 20.6 KiB | 2024-Oct-25 19:08 |
perl-flowd-0.9.1-r10.apk | 20.7 KiB | 2024-Oct-25 19:08 |
pdf2svg-0.2.3-r1.apk | 4.4 KiB | 2024-Oct-25 19:08 |
perl-xml-bare-0.53-r13.apk | 26.2 KiB | 2024-Oct-25 19:08 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 8.0 KiB | 2024-Oct-25 19:08 |
perl-dbix-introspector-0.001005-r4.apk | 8.0 KiB | 2024-Oct-25 19:08 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-Oct-25 19:08 |
php81-pecl-apcu-5.1.24-r0.apk | 51.5 KiB | 2024-Oct-25 19:08 |
perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-Oct-25 19:08 |
perl-string-crc32-doc-2.100-r4.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-devel-refcount-0.10-r1.apk | 5.9 KiB | 2024-Oct-25 19:08 |
perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-Oct-25 19:08 |
perl-xml-feed-doc-0.65-r0.apk | 12.4 KiB | 2024-Oct-25 19:08 |
perl-algorithm-c3-0.11-r1.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-opentracing-doc-1.006-r0.apk | 32.7 KiB | 2024-Oct-25 19:08 |
perl-test-roo-doc-1.004-r3.apk | 15.4 KiB | 2024-Oct-25 19:08 |
perl-net-xmpp-1.05-r0.apk | 57.6 KiB | 2024-Oct-25 19:08 |
perl-dns-unbound-mojo-0.29-r1.apk | 2.7 KiB | 2024-Oct-25 19:08 |
perl-net-async-redis-xs-1.001-r1.apk | 8.4 KiB | 2024-Oct-25 19:08 |
perl-time-timegm-doc-0.01-r9.apk | 3.8 KiB | 2024-Oct-25 19:08 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-Oct-25 19:08 |
perl-ppi-xs-doc-0.910-r1.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-sys-syscall-doc-0.25-r10.apk | 3.7 KiB | 2024-Oct-25 19:08 |
perl-libapreq2-doc-2.17-r2.apk | 37.1 KiB | 2024-Oct-25 19:08 |
perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-Oct-25 19:08 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.6 KiB | 2024-Oct-25 19:08 |
perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-Oct-25 19:08 |
perl-netaddr-mac-doc-0.98-r1.apk | 8.0 KiB | 2024-Oct-25 19:08 |
perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-Oct-25 19:08 |
perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-Oct-25 19:08 |
perl-libapreq2-dev-2.17-r2.apk | 51.7 KiB | 2024-Oct-25 19:08 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-Oct-25 19:08 |
perl-rxperl-6.29.8-r0.apk | 26.3 KiB | 2024-Oct-25 19:08 |
perl-xml-bare-doc-0.53-r13.apk | 11.4 KiB | 2024-Oct-25 19:08 |
perl-uri-fetch-0.15-r0.apk | 7.0 KiB | 2024-Oct-25 19:08 |
perl-musicbrainz-discid-0.06-r1.apk | 8.8 KiB | 2024-Oct-25 19:08 |
perl-math-random-isaac-xs-1.004-r8.apk | 7.2 KiB | 2024-Oct-25 19:08 |
perl-aliased-doc-0.34-r4.apk | 5.6 KiB | 2024-Oct-25 19:08 |
perl-json-maybeutf8-2.000-r0.apk | 3.1 KiB | 2024-Oct-25 19:08 |
perl-system-command-1.122-r0.apk | 11.8 KiB | 2024-Oct-25 19:08 |
perl-promise-es6-anyevent-0.28-r0.apk | 2.5 KiB | 2024-Oct-25 19:08 |
perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-Oct-25 19:08 |
perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-Oct-25 19:08 |
perl-database-async-doc-0.019-r0.apk | 29.2 KiB | 2024-Oct-25 19:08 |
perl-class-inner-doc-0.200001-r5.apk | 4.1 KiB | 2024-Oct-25 19:08 |
perl-graphql-client-0.605-r0.apk | 7.1 KiB | 2024-Oct-25 19:08 |
perl-proc-guard-doc-0.07-r4.apk | 3.5 KiB | 2024-Oct-25 19:08 |
perl-cairo-doc-1.109-r4.apk | 13.9 KiB | 2024-Oct-25 19:08 |
perl-pango-1.227-r11.apk | 72.5 KiB | 2024-Oct-25 19:08 |
perl-html-object-0.5.1-r0.apk | 348.3 KiB | 2024-Oct-25 19:08 |
perl-algorithm-permute-0.17-r0.apk | 10.9 KiB | 2024-Oct-25 19:08 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.9 KiB | 2024-Oct-25 19:08 |
perl-ryu-doc-4.001-r0.apk | 35.4 KiB | 2024-Oct-25 19:08 |
perl-mojo-redis-doc-3.29-r0.apk | 24.6 KiB | 2024-Oct-25 19:08 |
perl-object-array-0.060-r0.apk | 5.7 KiB | 2024-Oct-25 19:08 |
perl-color-ansi-util-0.165-r0.apk | 7.3 KiB | 2024-Oct-25 19:08 |
perl-promise-es6-future-0.28-r0.apk | 2.3 KiB | 2024-Oct-25 19:08 |
perl-digest-crc-0.24-r1.apk | 9.4 KiB | 2024-Oct-25 19:08 |
perl-string-random-0.32-r2.apk | 7.9 KiB | 2024-Oct-25 19:08 |
perl-net-patricia-1.22-r12.apk | 18.4 KiB | 2024-Oct-25 19:08 |
perl-git-repository-doc-1.325-r0.apk | 31.5 KiB | 2024-Oct-25 19:08 |
perl-git-version-compare-doc-1.005-r0.apk | 4.8 KiB | 2024-Oct-25 19:08 |
perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-Oct-25 19:08 |
perl-string-camelcase-doc-0.04-r2.apk | 3.4 KiB | 2024-Oct-25 19:08 |
perl-time-timegm-0.01-r9.apk | 6.4 KiB | 2024-Oct-25 19:08 |
perl-libapreq2-2.17-r2.apk | 88.2 KiB | 2024-Oct-25 19:08 |
perl-ref-util-xs-0.117-r8.apk | 8.4 KiB | 2024-Oct-25 19:08 |
perl-test-toolbox-0.4-r5.apk | 9.8 KiB | 2024-Oct-25 19:08 |
perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-Oct-25 19:08 |
perl-net-irr-0.10-r0.apk | 5.5 KiB | 2024-Oct-25 19:08 |
perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-Oct-25 19:08 |
perl-email-mime-attachment-stripper-doc-1.317-r..> | 3.8 KiB | 2024-Oct-25 19:08 |
perl-syntax-keyword-match-0.15-r0.apk | 13.0 KiB | 2024-Oct-25 19:08 |
perl-conf-libconfig-doc-1.0.3-r0.apk | 5.4 KiB | 2024-Oct-25 19:08 |
perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-Oct-25 19:08 |
perl-ryu-4.001-r0.apk | 26.1 KiB | 2024-Oct-25 19:08 |
pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-Oct-25 19:08 |
pantalaimon-0.10.5-r4.apk | 44.8 KiB | 2024-Oct-25 19:08 |
paperkey-1.6-r2.apk | 15.1 KiB | 2024-Oct-25 19:08 |
pantalaimon-ui-0.10.5-r4.apk | 1.7 KiB | 2024-Oct-25 19:08 |
pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-Oct-25 19:08 |
par-1.53.0-r1.apk | 13.4 KiB | 2024-Oct-25 19:08 |
parcellite-lang-1.2.5-r0.apk | 48.8 KiB | 2024-Oct-25 19:08 |
par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-Oct-25 19:08 |
pamtester-0.1.2-r4.apk | 8.0 KiB | 2024-Oct-25 19:08 |
parcellite-1.2.5-r0.apk | 221.3 KiB | 2024-Oct-25 19:08 |
p910nd-0.97-r2.apk | 6.7 KiB | 2024-Oct-25 19:08 |
pam_sqlite3-1.0.2-r2.apk | 7.8 KiB | 2024-Oct-25 19:08 |
pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-Oct-25 19:08 |
oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-Oct-25 19:08 |
p910nd-doc-0.97-r2.apk | 3.0 KiB | 2024-Oct-25 19:08 |
pacparser-1.4.5-r1.apk | 680.2 KiB | 2024-Oct-25 19:08 |
pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-Oct-25 19:08 |
p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-Oct-25 19:08 |
pantalaimon-pyc-0.10.5-r4.apk | 82.9 KiB | 2024-Oct-25 19:08 |
paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-Oct-25 19:08 |
paperde-dev-0.2.1-r2.apk | 5.2 KiB | 2024-Oct-25 19:08 |
pash-2.3.0-r2.apk | 4.3 KiB | 2024-Oct-25 19:08 |
parcellite-doc-1.2.5-r0.apk | 25.2 KiB | 2024-Oct-25 19:08 |
paperde-0.2.1-r2.apk | 601.7 KiB | 2024-Oct-25 19:08 |
pasystray-0.8.2-r0.apk | 42.8 KiB | 2024-Oct-25 19:08 |
pass2csv-pyc-1.1.1-r1.apk | 7.5 KiB | 2024-Oct-25 19:08 |
pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-Oct-25 19:08 |
p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2024-Oct-25 19:08 |
p0f-3.09b-r3.apk | 81.7 KiB | 2024-Oct-25 19:08 |
pantalaimon-doc-0.10.5-r4.apk | 6.4 KiB | 2024-Oct-25 19:08 |
pass2csv-1.1.1-r1.apk | 8.4 KiB | 2024-Oct-25 19:08 |
pam-krb5-4.11-r1.apk | 19.5 KiB | 2024-Oct-25 19:08 |
ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-Oct-25 19:08 |
ovn-doc-24.03.1-r0.apk | 511.9 KiB | 2024-Oct-25 19:08 |
ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-Oct-25 19:08 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4.0 KiB | 2024-Oct-25 19:08 |
ovn-dbg-24.03.1-r0.apk | 26.2 MiB | 2024-Oct-25 19:08 |
ovn-24.03.1-r0.apk | 5.9 MiB | 2024-Oct-25 19:08 |
ovn-dev-24.03.1-r0.apk | 9.8 MiB | 2024-Oct-25 19:08 |
ovn-openrc-24.03.1-r0.apk | 4.2 KiB | 2024-Oct-25 19:08 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.6 KiB | 2024-Oct-25 19:08 |
otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 19:08 |
otrs-6.0.48-r2.apk | 28.7 MiB | 2024-Oct-25 19:08 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-Oct-25 19:08 |
otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-Oct-25 19:08 |
otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-Oct-25 19:08 |
optee-client-3.20.0-r0.apk | 18.3 KiB | 2024-Oct-25 19:08 |
otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-Oct-25 19:08 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-Oct-25 19:08 |
opkg-libs-0.7.0-r0.apk | 69.7 KiB | 2024-Oct-25 19:08 |
otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-Oct-25 19:08 |
opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-Oct-25 19:08 |
opkg-doc-0.7.0-r0.apk | 7.7 KiB | 2024-Oct-25 19:08 |
otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 19:08 |
otpclient-4.0.2-r0.apk | 106.4 KiB | 2024-Oct-25 19:08 |
osmctools-0.9-r0.apk | 123.3 KiB | 2024-Oct-25 19:08 |
otpclient-doc-4.0.2-r0.apk | 3.6 KiB | 2024-Oct-25 19:08 |
otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-Oct-25 19:08 |
opmsg-1.84-r1.apk | 242.6 KiB | 2024-Oct-25 19:08 |
opkg-0.7.0-r0.apk | 9.8 KiB | 2024-Oct-25 19:08 |
otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-Oct-25 19:08 |
opkg-dev-0.7.0-r0.apk | 99.4 KiB | 2024-Oct-25 19:08 |
optee-client-dev-3.20.0-r0.apk | 28.6 KiB | 2024-Oct-25 19:08 |
opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-Oct-25 19:08 |
optee-client-libs-3.20.0-r0.apk | 30.0 KiB | 2024-Oct-25 19:08 |
openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-Oct-25 19:08 |
openslide-3.4.1-r3.apk | 77.4 KiB | 2024-Oct-25 19:08 |
openocd-git-dev-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 19:08 |
openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-Oct-25 19:08 |
openscap-daemon-pyc-0.1.10-r9.apk | 101.9 KiB | 2024-Oct-25 19:08 |
openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 19:08 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 19:08 |
openslide-doc-3.4.1-r3.apk | 4.9 KiB | 2024-Oct-25 19:08 |
openscap-daemon-0.1.10-r9.apk | 60.2 KiB | 2024-Oct-25 19:08 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1.5 KiB | 2024-Oct-25 19:08 |
openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-Oct-25 19:08 |
openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-Oct-25 19:08 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-Oct-25 19:08 |
openfpgaloader-0.11.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:08 |
openapi-tui-0.9.4-r1.apk | 3.6 MiB | 2024-Oct-25 19:08 |
openslide-tools-3.4.1-r3.apk | 9.9 KiB | 2024-Oct-25 19:08 |
openocd-git-doc-0_git20240113-r1.apk | 3.3 KiB | 2024-Oct-25 19:08 |
openocd-git-0_git20240113-r1.apk | 1.6 MiB | 2024-Oct-25 19:08 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 19:08 |
openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-Oct-25 19:08 |
openocd-git-dbg-0_git20240113-r1.apk | 4.2 MiB | 2024-Oct-25 19:08 |
openslide-dev-3.4.1-r3.apk | 6.9 KiB | 2024-Oct-25 19:08 |
openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-Oct-25 19:08 |
ocp-index-doc-1.3.6-r0.apk | 30.6 KiB | 2024-Oct-25 19:08 |
ocfs2-tools-1.8.7-r4.apk | 1.0 MiB | 2024-Oct-25 19:08 |
ocamlnet-tcl-4.1.9-r2.apk | 49.5 KiB | 2024-Oct-25 19:08 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.8 KiB | 2024-Oct-25 19:08 |
ocamlnet-4.1.9-r2.apk | 16.5 MiB | 2024-Oct-25 19:08 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-Oct-25 19:08 |
ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-Oct-25 19:08 |
olsrd-plugins-0.9.8-r3.apk | 164.4 KiB | 2024-Oct-25 19:08 |
olsrd-0.9.8-r3.apk | 155.7 KiB | 2024-Oct-25 19:08 |
octoprint-filecheck-2024.3.27-r1.apk | 27.9 KiB | 2024-Oct-25 19:08 |
ocp-index-vim-1.3.6-r0.apk | 3.0 KiB | 2024-Oct-25 19:08 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.6 KiB | 2024-Oct-25 19:08 |
ocp-indent-vim-1.8.2-r2.apk | 2.4 KiB | 2024-Oct-25 19:08 |
olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-Oct-25 19:08 |
octoprint-filecheck-pyc-2024.3.27-r1.apk | 11.3 KiB | 2024-Oct-25 19:08 |
oil-0.21.0-r0.apk | 1.4 MiB | 2024-Oct-25 19:08 |
ocamlnet-dev-4.1.9-r2.apk | 5.9 MiB | 2024-Oct-25 19:08 |
olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-Oct-25 19:08 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 29.3 KiB | 2024-Oct-25 19:08 |
olab-0.1.8-r0.apk | 3.4 MiB | 2024-Oct-25 19:08 |
ocp-index-1.3.6-r0.apk | 5.4 MiB | 2024-Oct-25 19:08 |
ocp-index-emacs-1.3.6-r0.apk | 6.3 KiB | 2024-Oct-25 19:08 |
octoprint-pisupport-2023.10.10-r1.apk | 30.8 KiB | 2024-Oct-25 19:08 |
ocp-indent-emacs-1.8.2-r2.apk | 3.7 KiB | 2024-Oct-25 19:08 |
ocp-indent-1.8.2-r2.apk | 1.1 MiB | 2024-Oct-25 19:08 |
oil-doc-0.21.0-r0.apk | 7.0 KiB | 2024-Oct-25 19:08 |
octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-Oct-25 19:08 |
ocp-indent-doc-1.8.2-r2.apk | 17.0 KiB | 2024-Oct-25 19:08 |
ocfs2-tools-dev-1.8.7-r4.apk | 44.9 KiB | 2024-Oct-25 19:08 |
ocaml-uutf-1.0.3-r2.apk | 610.1 KiB | 2024-Oct-25 19:08 |
ocaml-uunf-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 19:08 |
ocaml-reason-dev-3.8.2-r1.apk | 28.8 MiB | 2024-Oct-25 19:08 |
ocaml-tls-dev-0.15.3-r4.apk | 2.4 MiB | 2024-Oct-25 19:08 |
ocaml-uuidm-tools-0.9.8-r2.apk | 433.4 KiB | 2024-Oct-25 19:08 |
ocaml-stk-0.1.0-r0.apk | 6.1 MiB | 2024-Oct-25 19:08 |
ocaml-xml-light-dev-2.5-r0.apk | 385.6 KiB | 2024-Oct-25 19:08 |
ocaml-uunf-dev-14.0.0-r2.apk | 222.5 KiB | 2024-Oct-25 19:08 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1.3 MiB | 2024-Oct-25 19:08 |
ocaml-zed-3.1.0-r3.apk | 518.4 KiB | 2024-Oct-25 19:08 |
ocaml-uuseg-14.0.0-r2.apk | 92.1 KiB | 2024-Oct-25 19:08 |
ocaml-stk-dev-0.1.0-r0.apk | 9.9 MiB | 2024-Oct-25 19:08 |
ocaml-trie-1.0.0-r2.apk | 15.7 KiB | 2024-Oct-25 19:08 |
ocaml-seq-0.3.1-r2.apk | 13.9 KiB | 2024-Oct-25 19:08 |
ocaml-ssl-0.7.0-r0.apk | 92.7 KiB | 2024-Oct-25 19:08 |
ocaml-sha-1.15.4-r0.apk | 89.4 KiB | 2024-Oct-25 19:08 |
ocaml-tophide-1.0.4-r2.apk | 5.6 KiB | 2024-Oct-25 19:08 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 109.1 KiB | 2024-Oct-25 19:08 |
ocaml-tsdl-1.0.0-r0.apk | 919.4 KiB | 2024-Oct-25 19:08 |
ocaml-xmlm-dev-1.4.0-r2.apk | 99.7 KiB | 2024-Oct-25 19:08 |
ocaml-trie-dev-1.0.0-r2.apk | 25.3 KiB | 2024-Oct-25 19:08 |
ocaml-uri-dev-4.2.0-r2.apk | 4.3 MiB | 2024-Oct-25 19:08 |
ocaml-xtmpl-0.19.0-r0.apk | 8.8 MiB | 2024-Oct-25 19:08 |
ocaml-sedlex-3.2-r0.apk | 4.1 MiB | 2024-Oct-25 19:08 |
ocaml-tsdl-dev-1.0.0-r0.apk | 575.8 KiB | 2024-Oct-25 19:08 |
ocaml-uutf-dev-1.0.3-r2.apk | 55.2 KiB | 2024-Oct-25 19:08 |
ocaml-uucd-14.0.0-r2.apk | 278.7 KiB | 2024-Oct-25 19:08 |
ocaml-uucd-dev-14.0.0-r2.apk | 172.4 KiB | 2024-Oct-25 19:08 |
ocaml-result-dev-1.5-r2.apk | 7.9 KiB | 2024-Oct-25 19:08 |
ocaml-utop-2.9.1-r4.apk | 349.2 KiB | 2024-Oct-25 19:08 |
ocaml-tsdl-image-dev-0.6-r0.apk | 77.6 KiB | 2024-Oct-25 19:08 |
ocaml-zed-dev-3.1.0-r3.apk | 1.1 MiB | 2024-Oct-25 19:08 |
ocaml-ssl-dev-0.7.0-r0.apk | 207.8 KiB | 2024-Oct-25 19:08 |
ocaml-stringext-dev-1.6.0-r2.apk | 77.8 KiB | 2024-Oct-25 19:08 |
ocaml-utop-dev-2.9.1-r4.apk | 762.8 KiB | 2024-Oct-25 19:08 |
ocaml-rresult-0.7.0-r2.apk | 38.1 KiB | 2024-Oct-25 19:08 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4.2 KiB | 2024-Oct-25 19:08 |
ocaml-tsdl-ttf-0.6-r0.apk | 62.2 KiB | 2024-Oct-25 19:08 |
ocaml-uuseg-dev-14.0.0-r2.apk | 46.0 KiB | 2024-Oct-25 19:08 |
ocaml-rresult-dev-0.7.0-r2.apk | 34.7 KiB | 2024-Oct-25 19:08 |
ocaml-result-1.5-r2.apk | 9.0 KiB | 2024-Oct-25 19:08 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 334.7 KiB | 2024-Oct-25 19:08 |
ocaml-tls-0.15.3-r4.apk | 1.2 MiB | 2024-Oct-25 19:08 |
ocaml-stringext-1.6.0-r2.apk | 42.8 KiB | 2024-Oct-25 19:08 |
ocaml-topkg-dev-1.0.5-r2.apk | 406.7 KiB | 2024-Oct-25 19:08 |
ocaml-x509-0.16.0-r2.apk | 835.5 KiB | 2024-Oct-25 19:08 |
ocaml-uuidm-0.9.8-r2.apk | 45.3 KiB | 2024-Oct-25 19:08 |
ocaml-xml-light-2.5-r0.apk | 216.7 KiB | 2024-Oct-25 19:08 |
ocaml-tsdl-image-0.6-r0.apk | 47.4 KiB | 2024-Oct-25 19:08 |
ocaml-yojson-dev-2.1.2-r0.apk | 1.7 MiB | 2024-Oct-25 19:08 |
ocaml-sha-dev-1.15.4-r0.apk | 247.3 KiB | 2024-Oct-25 19:08 |
ocaml-xmlm-1.4.0-r2.apk | 547.5 KiB | 2024-Oct-25 19:08 |
ocaml-uuidm-dev-0.9.8-r2.apk | 24.8 KiB | 2024-Oct-25 19:08 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 776.8 KiB | 2024-Oct-25 19:08 |
ocaml-x509-dev-0.16.0-r2.apk | 1.5 MiB | 2024-Oct-25 19:08 |
ocaml-yojson-2.1.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:08 |
ocaml-seq-dev-0.3.1-r2.apk | 19.9 KiB | 2024-Oct-25 19:08 |
ocaml-uucp-dev-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 19:08 |
ocaml-uucp-14.0.0-r2.apk | 5.1 MiB | 2024-Oct-25 19:08 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2.4 MiB | 2024-Oct-25 19:08 |
ocaml-sedlex-dev-3.2-r0.apk | 1.5 MiB | 2024-Oct-25 19:08 |
ocaml-sexplib0-0.16.0-r0.apk | 170.7 KiB | 2024-Oct-25 19:08 |
ocaml-sexplib-0.16.0-r0.apk | 486.3 KiB | 2024-Oct-25 19:08 |
ocaml-topkg-1.0.5-r2.apk | 613.2 KiB | 2024-Oct-25 19:08 |
ocaml-sexplib-dev-0.16.0-r0.apk | 821.7 KiB | 2024-Oct-25 19:08 |
ocaml-uri-4.2.0-r2.apk | 1.4 MiB | 2024-Oct-25 19:08 |
ocaml-tcpip-7.1.2-r3.apk | 1.2 MiB | 2024-Oct-25 19:08 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 16.1 MiB | 2024-Oct-25 19:08 |
ocaml-react-dev-1.2.2-r2.apk | 198.0 KiB | 2024-Oct-25 19:08 |
ocaml-ppx_deriving-5.3.0-r0.apk | 4.9 MiB | 2024-Oct-25 19:08 |
ocaml-ppx_blob-0.8.0-r0.apk | 3.7 MiB | 2024-Oct-25 19:08 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1.1 MiB | 2024-Oct-25 19:08 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11.2 KiB | 2024-Oct-25 19:08 |
ocaml-psq-dev-0.2.0-r2.apk | 173.7 KiB | 2024-Oct-25 19:08 |
ocaml-qcheck-0.18.1-r3.apk | 668.0 KiB | 2024-Oct-25 19:08 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1.3 MiB | 2024-Oct-25 19:08 |
ocaml-react-1.2.2-r2.apk | 283.0 KiB | 2024-Oct-25 19:08 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 18.7 KiB | 2024-Oct-25 19:08 |
ocaml-re-1.11.0-r1.apk | 523.6 KiB | 2024-Oct-25 19:08 |
ocaml-ppxlib-0.32.0-r0.apk | 12.7 MiB | 2024-Oct-25 19:08 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:08 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 579.6 KiB | 2024-Oct-25 19:08 |
ocaml-reason-3.8.2-r1.apk | 15.3 MiB | 2024-Oct-25 19:08 |
ocaml-ptmap-dev-2.0.5-r3.apk | 94.0 KiB | 2024-Oct-25 19:08 |
ocaml-qtest-2.11.2-r3.apk | 317.3 KiB | 2024-Oct-25 19:08 |
ocaml-randomconv-dev-0.1.3-r2.apk | 17.7 KiB | 2024-Oct-25 19:08 |
ocaml-ptmap-2.0.5-r3.apk | 53.7 KiB | 2024-Oct-25 19:08 |
ocaml-psq-0.2.0-r2.apk | 96.9 KiB | 2024-Oct-25 19:08 |
ocaml-re-dev-1.11.0-r1.apk | 974.7 KiB | 2024-Oct-25 19:08 |
ocaml-ppx_derivers-1.2.1-r2.apk | 9.5 KiB | 2024-Oct-25 19:08 |
ocaml-qtest-dev-2.11.2-r3.apk | 3.6 KiB | 2024-Oct-25 19:08 |
ocaml-randomconv-0.1.3-r2.apk | 13.5 KiB | 2024-Oct-25 19:08 |
ocaml-ptime-dev-1.0.0-r2.apk | 75.8 KiB | 2024-Oct-25 19:08 |
ocaml-ptime-1.0.0-r2.apk | 112.5 KiB | 2024-Oct-25 19:08 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 39.7 KiB | 2024-Oct-25 19:07 |
ocaml-otr-0.3.10-r2.apk | 283.6 KiB | 2024-Oct-25 19:07 |
ocaml-num-dev-1.4-r3.apk | 94.3 KiB | 2024-Oct-25 19:07 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 260.7 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-clock-4.2.0-r2.apk | 27.7 KiB | 2024-Oct-25 19:07 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 255.7 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 193.3 KiB | 2024-Oct-25 19:07 |
ocaml-mmap-dev-1.2.0-r3.apk | 6.5 KiB | 2024-Oct-25 19:07 |
ocaml-metrics-dev-0.4.0-r3.apk | 449.9 KiB | 2024-Oct-25 19:07 |
ocaml-mqtt-dev-0.2.2-r0.apk | 258.5 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-time-3.0.0-r4.apk | 9.9 KiB | 2024-Oct-25 19:07 |
ocaml-num-1.4-r3.apk | 255.6 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-random-3.0.0-r3.apk | 7.0 KiB | 2024-Oct-25 19:07 |
ocaml-ounit-2.2.7-r3.apk | 536.5 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 30.9 KiB | 2024-Oct-25 19:07 |
ocaml-merlin-extend-0.6.1-r2.apk | 49.0 KiB | 2024-Oct-25 19:07 |
ocaml-lwt-5.7.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
ocaml-metrics-0.4.0-r3.apk | 244.6 KiB | 2024-Oct-25 19:07 |
ocaml-mtime-1.4.0-r2.apk | 48.6 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 19.5 KiB | 2024-Oct-25 19:07 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 529.3 KiB | 2024-Oct-25 19:07 |
ocaml-mew-0.1.0-r3.apk | 68.7 KiB | 2024-Oct-25 19:07 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 13.7 KiB | 2024-Oct-25 19:07 |
ocaml-lambdasoup-0.7.3-r2.apk | 189.8 KiB | 2024-Oct-25 19:07 |
ocaml-pbkdf-1.2.0-r2.apk | 17.4 KiB | 2024-Oct-25 19:07 |
ocaml-lru-dev-0.3.0-r2.apk | 162.4 KiB | 2024-Oct-25 19:07 |
ocaml-lwd-dev-0.3-r0.apk | 1019.0 KiB | 2024-Oct-25 19:07 |
ocaml-otoml-1.0.5-r0.apk | 443.7 KiB | 2024-Oct-25 19:07 |
ocaml-lwt_ssl-1.2.0-r0.apk | 29.2 KiB | 2024-Oct-25 19:07 |
ocaml-logs-dev-0.7.0-r3.apk | 85.1 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-flow-3.0.0-r3.apk | 110.1 KiB | 2024-Oct-25 19:07 |
ocaml-parsexp-0.16.0-r0.apk | 398.3 KiB | 2024-Oct-25 19:07 |
ocaml-pcre-dev-7.5.0-r4.apk | 302.3 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-profile-0.9.1-r3.apk | 24.0 KiB | 2024-Oct-25 19:07 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 46.8 KiB | 2024-Oct-25 19:07 |
ocaml-ocplib-endian-1.2-r3.apk | 157.2 KiB | 2024-Oct-25 19:07 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 97.3 KiB | 2024-Oct-25 19:07 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 638.3 KiB | 2024-Oct-25 19:07 |
ocaml-ocp-index-1.3.6-r0.apk | 461.0 KiB | 2024-Oct-25 19:07 |
ocaml-lwt_ppx-5.7.0-r0.apk | 3.8 MiB | 2024-Oct-25 19:07 |
ocaml-lwt_react-5.7.0-r0.apk | 119.7 KiB | 2024-Oct-25 19:07 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8.8 KiB | 2024-Oct-25 19:07 |
ocaml-obuild-0.1.11-r0.apk | 1.1 MiB | 2024-Oct-25 19:07 |
ocaml-notty-0.2.3-r0.apk | 307.8 KiB | 2024-Oct-25 19:07 |
ocaml-pcre-7.5.0-r4.apk | 146.8 KiB | 2024-Oct-25 19:07 |
ocaml-ocf-0.8.0-r3.apk | 7.8 MiB | 2024-Oct-25 19:07 |
ocaml-lwt-dev-5.7.0-r0.apk | 3.1 MiB | 2024-Oct-25 19:07 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 25.2 KiB | 2024-Oct-25 19:07 |
ocaml-libvirt-0.6.1.7-r0.apk | 173.5 KiB | 2024-Oct-25 19:07 |
ocaml-lambda-term-3.2.0-r4.apk | 3.4 MiB | 2024-Oct-25 19:07 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 3.8 MiB | 2024-Oct-25 19:07 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 5.2 MiB | 2024-Oct-25 19:07 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 296.4 KiB | 2024-Oct-25 19:07 |
ocaml-omake-doc-0.10.6-r0.apk | 8.0 KiB | 2024-Oct-25 19:07 |
ocaml-mtime-dev-1.4.0-r2.apk | 48.2 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8.2 KiB | 2024-Oct-25 19:07 |
ocaml-parsexp-dev-0.16.0-r0.apk | 937.9 KiB | 2024-Oct-25 19:07 |
ocaml-markup-dev-1.0.3-r3.apk | 2.1 MiB | 2024-Oct-25 19:07 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 42.9 KiB | 2024-Oct-25 19:07 |
ocaml-omod-bin-0.0.3-r3.apk | 2.7 MiB | 2024-Oct-25 19:07 |
ocaml-otoml-dev-1.0.5-r0.apk | 780.5 KiB | 2024-Oct-25 19:07 |
ocaml-magic-mime-1.3.1-r0.apk | 222.8 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 33.9 KiB | 2024-Oct-25 19:07 |
ocaml-markup-1.0.3-r3.apk | 1.0 MiB | 2024-Oct-25 19:07 |
ocaml-omod-0.0.3-r3.apk | 330.1 KiB | 2024-Oct-25 19:07 |
ocaml-mqtt-0.2.2-r0.apk | 156.1 KiB | 2024-Oct-25 19:07 |
ocaml-lwt-dllist-1.0.1-r3.apk | 22.5 KiB | 2024-Oct-25 19:07 |
ocaml-otr-dev-0.3.10-r2.apk | 527.0 KiB | 2024-Oct-25 19:07 |
ocaml-ocp-indent-1.8.2-r2.apk | 537.7 KiB | 2024-Oct-25 19:07 |
ocaml-lru-0.3.0-r2.apk | 75.7 KiB | 2024-Oct-25 19:07 |
ocaml-ounit-dev-2.2.7-r3.apk | 1.0 MiB | 2024-Oct-25 19:07 |
ocaml-mew-dev-0.1.0-r3.apk | 95.9 KiB | 2024-Oct-25 19:07 |
ocaml-omake-0.10.6-r0.apk | 1.6 MiB | 2024-Oct-25 19:07 |
ocaml-mikmatch-1.0.9-r2.apk | 145.4 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-net-4.0.0-r3.apk | 12.3 KiB | 2024-Oct-25 19:07 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 320.7 KiB | 2024-Oct-25 19:07 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 348.5 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5.4 KiB | 2024-Oct-25 19:07 |
ocaml-lwt_log-1.1.1-r5.apk | 132.3 KiB | 2024-Oct-25 19:07 |
ocaml-mmap-1.2.0-r3.apk | 7.2 KiB | 2024-Oct-25 19:07 |
ocaml-logs-0.7.0-r3.apk | 114.0 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-kv-4.0.1-r3.apk | 20.1 KiB | 2024-Oct-25 19:07 |
ocaml-notty-dev-0.2.3-r0.apk | 607.9 KiB | 2024-Oct-25 19:07 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 91.0 KiB | 2024-Oct-25 19:07 |
ocaml-ocf-dev-0.8.0-r3.apk | 323.9 KiB | 2024-Oct-25 19:07 |
ocaml-omod-dev-0.0.3-r3.apk | 214.1 KiB | 2024-Oct-25 19:07 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1.1 MiB | 2024-Oct-25 19:07 |
ocaml-lwd-0.3-r0.apk | 490.2 KiB | 2024-Oct-25 19:07 |
ocaml-mew_vi-0.5.0-r3.apk | 185.2 KiB | 2024-Oct-25 19:07 |
ocaml-iso8601-0.2.6-r0.apk | 52.1 KiB | 2024-Oct-25 19:07 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 148.9 KiB | 2024-Oct-25 19:07 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 881.3 KiB | 2024-Oct-25 19:07 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 562.1 KiB | 2024-Oct-25 19:07 |
ocaml-hex-dev-1.5.0-r2.apk | 53.5 KiB | 2024-Oct-25 19:07 |
ocaml-iri-dev-1.0.0-r0.apk | 770.4 KiB | 2024-Oct-25 19:07 |
ocaml-integers-0.7.0-r2.apk | 128.0 KiB | 2024-Oct-25 19:07 |
ocaml-higlo-0.9-r0.apk | 4.9 MiB | 2024-Oct-25 19:07 |
ocaml-gitlab-dev-0.1.8-r0.apk | 11.6 MiB | 2024-Oct-25 19:07 |
ocaml-iri-1.0.0-r0.apk | 1.8 MiB | 2024-Oct-25 19:07 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18.3 KiB | 2024-Oct-25 19:07 |
ocaml-gitlab-0.1.8-r0.apk | 3.0 MiB | 2024-Oct-25 19:07 |
ocaml-hex-1.5.0-r2.apk | 29.3 KiB | 2024-Oct-25 19:07 |
ocaml-labltk-dev-8.06.12-r2.apk | 1.5 MiB | 2024-Oct-25 19:07 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 59.1 KiB | 2024-Oct-25 19:07 |
ocaml-ipaddr-5.3.1-r2.apk | 313.2 KiB | 2024-Oct-25 19:07 |
ocaml-labltk-8.06.12-r2.apk | 2.9 MiB | 2024-Oct-25 19:07 |
ocaml-higlo-dev-0.9-r0.apk | 677.1 KiB | 2024-Oct-25 19:07 |
ocaml-gmap-dev-0.3.0-r2.apk | 74.7 KiB | 2024-Oct-25 19:07 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 39.0 KiB | 2024-Oct-25 19:07 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 13.8 MiB | 2024-Oct-25 19:07 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2.4 MiB | 2024-Oct-25 19:07 |
ocaml-jsonm-1.0.2-r0.apk | 118.7 KiB | 2024-Oct-25 19:07 |
ocaml-jsonm-tools-1.0.2-r0.apk | 435.1 KiB | 2024-Oct-25 19:07 |
ocaml-integers-dev-0.7.0-r2.apk | 273.7 KiB | 2024-Oct-25 19:07 |
ocaml-gmap-0.3.0-r2.apk | 36.4 KiB | 2024-Oct-25 19:07 |
ocaml-jsonm-dev-1.0.2-r0.apk | 67.2 KiB | 2024-Oct-25 19:07 |
ocaml-hkdf-1.0.4-r2.apk | 13.9 KiB | 2024-Oct-25 19:07 |
ocaml-lablgtk3-3.1.2-r3.apk | 7.8 MiB | 2024-Oct-25 19:07 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 84.4 KiB | 2024-Oct-25 19:07 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1.5 MiB | 2024-Oct-25 19:07 |
ocaml-iso8601-dev-0.2.6-r0.apk | 87.5 KiB | 2024-Oct-25 19:07 |
ocaml-easy-format-dev-1.3.4-r1.apk | 111.5 KiB | 2024-Oct-25 19:07 |
ocaml-curses-dev-1.0.10-r2.apk | 312.1 KiB | 2024-Oct-25 19:07 |
ocaml-dns-tools-6.2.2-r3.apk | 10.4 MiB | 2024-Oct-25 19:07 |
ocaml-ctypes-0.20.1-r2.apk | 875.6 KiB | 2024-Oct-25 19:07 |
ocaml-gettext-0.4.2-r3.apk | 3.4 MiB | 2024-Oct-25 19:07 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1.3 MiB | 2024-Oct-25 19:07 |
ocaml-eqaf-dev-0.8-r2.apk | 119.9 KiB | 2024-Oct-25 19:07 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 103.5 KiB | 2024-Oct-25 19:07 |
ocaml-erm_xml-0_git20211229-r2.apk | 581.5 KiB | 2024-Oct-25 19:07 |
ocaml-dns-6.2.2-r3.apk | 2.2 MiB | 2024-Oct-25 19:07 |
ocaml-dns-dev-6.2.2-r3.apk | 4.2 MiB | 2024-Oct-25 19:07 |
ocaml-gettext-dev-0.4.2-r3.apk | 724.7 KiB | 2024-Oct-25 19:07 |
ocaml-fileutils-0.6.4-r2.apk | 313.7 KiB | 2024-Oct-25 19:07 |
ocaml-cohttp-tools-5.3.1-r0.apk | 7.6 MiB | 2024-Oct-25 19:07 |
ocaml-conduit-dev-6.1.0-r0.apk | 548.9 KiB | 2024-Oct-25 19:07 |
ocaml-curses-1.0.10-r2.apk | 133.9 KiB | 2024-Oct-25 19:07 |
ocaml-gen-dev-1.1-r1.apk | 612.7 KiB | 2024-Oct-25 19:07 |
ocaml-extlib-dev-1.7.9-r2.apk | 1.3 MiB | 2024-Oct-25 19:07 |
ocaml-cstruct-dev-6.1.0-r3.apk | 709.3 KiB | 2024-Oct-25 19:07 |
ocaml-fix-dev-20220121-r2.apk | 429.0 KiB | 2024-Oct-25 19:07 |
ocaml-containers-dev-3.7-r2.apk | 6.7 MiB | 2024-Oct-25 19:07 |
ocaml-eqaf-0.8-r2.apk | 68.4 KiB | 2024-Oct-25 19:07 |
ocaml-fileutils-dev-0.6.4-r2.apk | 598.0 KiB | 2024-Oct-25 19:07 |
ocaml-down-0.1.0-r3.apk | 626.7 KiB | 2024-Oct-25 19:07 |
ocaml-containers-top-3.7-r2.apk | 22.4 KiB | 2024-Oct-25 19:07 |
ocaml-domain-name-dev-0.4.0-r2.apk | 141.4 KiB | 2024-Oct-25 19:07 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1.7 MiB | 2024-Oct-25 19:07 |
ocaml-duration-0.2.0-r2.apk | 26.8 KiB | 2024-Oct-25 19:07 |
ocaml-duration-dev-0.2.0-r2.apk | 44.6 KiB | 2024-Oct-25 19:07 |
ocaml-ethernet-3.0.0-r3.apk | 42.5 KiB | 2024-Oct-25 19:07 |
ocaml-extlib-1.7.9-r2.apk | 636.9 KiB | 2024-Oct-25 19:07 |
ocaml-fmt-dev-0.9.0-r2.apk | 126.4 KiB | 2024-Oct-25 19:07 |
ocaml-fmt-0.9.0-r2.apk | 190.9 KiB | 2024-Oct-25 19:07 |
ocaml-conduit-6.1.0-r0.apk | 290.7 KiB | 2024-Oct-25 19:07 |
ocaml-domain-name-0.4.0-r2.apk | 74.2 KiB | 2024-Oct-25 19:07 |
ocaml-down-dev-0.1.0-r3.apk | 333.4 KiB | 2024-Oct-25 19:07 |
ocaml-fpath-dev-0.7.3-r2.apk | 103.2 KiB | 2024-Oct-25 19:07 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 777.6 KiB | 2024-Oct-25 19:07 |
ocaml-ctypes-dev-0.20.1-r2.apk | 850.5 KiB | 2024-Oct-25 19:07 |
ocaml-fpath-0.7.3-r2.apk | 138.6 KiB | 2024-Oct-25 19:07 |
ocaml-ethernet-dev-3.0.0-r3.apk | 82.7 KiB | 2024-Oct-25 19:07 |
ocaml-cstruct-6.1.0-r3.apk | 4.1 MiB | 2024-Oct-25 19:07 |
ocaml-easy-format-1.3.4-r1.apk | 59.3 KiB | 2024-Oct-25 19:07 |
ocaml-extlib-doc-1.7.9-r2.apk | 10.6 KiB | 2024-Oct-25 19:07 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 76.7 KiB | 2024-Oct-25 19:07 |
ocaml-gen-1.1-r1.apk | 323.3 KiB | 2024-Oct-25 19:07 |
ocaml-containers-3.7-r2.apk | 3.5 MiB | 2024-Oct-25 19:07 |
ocaml-fileutils-doc-0.6.4-r2.apk | 15.8 KiB | 2024-Oct-25 19:07 |
ocaml-gettext-doc-0.4.2-r3.apk | 19.2 KiB | 2024-Oct-25 19:07 |
ocaml-ezxmlm-1.1.0-r0.apk | 31.9 KiB | 2024-Oct-25 19:07 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 52.1 KiB | 2024-Oct-25 19:07 |
ocaml-fix-20220121-r2.apk | 167.4 KiB | 2024-Oct-25 19:07 |
ocaml-bos-dev-0.2.1-r2.apk | 350.9 KiB | 2024-Oct-25 19:07 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 241.2 KiB | 2024-Oct-25 19:07 |
ocaml-bitstring-dev-4.1.0-r3.apk | 579.5 KiB | 2024-Oct-25 19:07 |
ocaml-atd-2.15.0-r0.apk | 6.6 MiB | 2024-Oct-25 19:07 |
ocaml-astring-dev-0.8.5-r2.apk | 179.8 KiB | 2024-Oct-25 19:07 |
ocaml-bisect_ppx-2.8.3-r0.apk | 4.5 MiB | 2024-Oct-25 19:07 |
ocaml-bigstringaf-0.9.0-r2.apk | 46.2 KiB | 2024-Oct-25 19:07 |
ocaml-bos-0.2.1-r2.apk | 461.3 KiB | 2024-Oct-25 19:07 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 446.8 KiB | 2024-Oct-25 19:07 |
ocaml-calendar-doc-2.04-r4.apk | 11.8 KiB | 2024-Oct-25 19:07 |
ocaml-calendar-dev-2.04-r4.apk | 162.4 KiB | 2024-Oct-25 19:07 |
ocaml-atd-dev-2.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:07 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 40.0 KiB | 2024-Oct-25 19:07 |
ocaml-cairo2-dev-0.6.2-r2.apk | 448.7 KiB | 2024-Oct-25 19:07 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 394.6 KiB | 2024-Oct-25 19:07 |
ocaml-base-0.16.3-r0.apk | 4.6 MiB | 2024-Oct-25 19:07 |
ocaml-base-dev-0.16.3-r0.apk | 9.6 MiB | 2024-Oct-25 19:07 |
ocaml-calendar-2.04-r4.apk | 219.0 KiB | 2024-Oct-25 19:07 |
ocaml-ca-certs-0.2.2-r2.apk | 28.3 KiB | 2024-Oct-25 19:07 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12.0 KiB | 2024-Oct-25 19:07 |
ocaml-base64-dev-3.5.0-r2.apk | 175.2 KiB | 2024-Oct-25 19:07 |
ocaml-camlzip-1.11-r2.apk | 113.4 KiB | 2024-Oct-25 19:07 |
ocaml-cohttp-5.3.1-r0.apk | 677.3 KiB | 2024-Oct-25 19:07 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:07 |
ocaml-bigarray-compat-1.1.0-r2.apk | 12.3 KiB | 2024-Oct-25 19:07 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 189.3 KiB | 2024-Oct-25 19:07 |
ocaml-cairo2-0.6.2-r2.apk | 163.2 KiB | 2024-Oct-25 19:07 |
ocaml-biniou-1.2.1-r5.apk | 555.3 KiB | 2024-Oct-25 19:07 |
ocaml-biniou-dev-1.2.1-r5.apk | 365.5 KiB | 2024-Oct-25 19:07 |
ocaml-camomile-data-1.0.2-r3.apk | 5.1 MiB | 2024-Oct-25 19:07 |
ocaml-camomile-1.0.2-r3.apk | 1.3 MiB | 2024-Oct-25 19:07 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1.3 MiB | 2024-Oct-25 19:07 |
ocaml-camlzip-dev-1.11-r2.apk | 219.5 KiB | 2024-Oct-25 19:07 |
ocaml-camomile-dev-1.0.2-r3.apk | 2.5 MiB | 2024-Oct-25 19:07 |
ocaml-cmdliner-1.1.1-r3.apk | 468.5 KiB | 2024-Oct-25 19:07 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 91.1 KiB | 2024-Oct-25 19:07 |
ocaml-bitstring-4.1.0-r3.apk | 4.0 MiB | 2024-Oct-25 19:07 |
ocaml-base64-3.5.0-r2.apk | 89.3 KiB | 2024-Oct-25 19:07 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 551.3 KiB | 2024-Oct-25 19:07 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 19.7 KiB | 2024-Oct-25 19:07 |
ocaml-charinfo_width-1.1.0-r3.apk | 104.0 KiB | 2024-Oct-25 19:07 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-Oct-25 19:07 |
ocaml-astring-0.8.5-r2.apk | 285.7 KiB | 2024-Oct-25 19:07 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-Oct-25 19:07 |
ocaml-asn1-combinators-0.2.6-r2.apk | 315.8 KiB | 2024-Oct-25 19:07 |
ocaml-alcotest-dev-1.5.0-r4.apk | 821.0 KiB | 2024-Oct-25 19:07 |
nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-Oct-25 19:07 |
numbat-doc-1.9.0-r0.apk | 24.7 KiB | 2024-Oct-25 19:07 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-Oct-25 19:07 |
nwg-displays-0.3.13-r1.apk | 23.6 KiB | 2024-Oct-25 19:07 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-Oct-25 19:07 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:07 |
objconv-2.52_git20210213-r2.apk | 211.3 KiB | 2024-Oct-25 19:07 |
nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-Oct-25 19:07 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-Oct-25 19:07 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-Oct-25 19:07 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1.0 MiB | 2024-Oct-25 19:07 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-Oct-25 19:07 |
numbat-1.9.0-r0.apk | 974.3 KiB | 2024-Oct-25 19:07 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-Oct-25 19:07 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2.8 KiB | 2024-Oct-25 19:07 |
nsnake-3.0.0-r0.apk | 8.2 KiB | 2024-Oct-25 19:07 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21.2 KiB | 2024-Oct-25 19:07 |
ocaml-amqp-client-2.3.0-r0.apk | 605.4 KiB | 2024-Oct-25 19:07 |
nymphcast-mediaserver-nftables-0.1-r3.apk | 1.7 KiB | 2024-Oct-25 19:07 |
ocaml-arp-dev-3.0.0-r3.apk | 159.4 KiB | 2024-Oct-25 19:07 |
nvim-packer-0.0.0_git20220910-r1.apk | 45.6 KiB | 2024-Oct-25 19:07 |
notification-daemon-3.20.0-r0.apk | 56.7 KiB | 2024-Oct-25 19:07 |
ocaml-arp-3.0.0-r3.apk | 84.0 KiB | 2024-Oct-25 19:07 |
nsh-0.4.2-r1.apk | 529.1 KiB | 2024-Oct-25 19:07 |
ocaml-angstrom-dev-0.16.0-r0.apk | 341.0 KiB | 2024-Oct-25 19:07 |
nvimpager-0.12.0-r0.apk | 12.6 KiB | 2024-Oct-25 19:07 |
ocaml-alcotest-1.5.0-r4.apk | 460.0 KiB | 2024-Oct-25 19:07 |
nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2024-Oct-25 19:07 |
nullmailer-2.2-r4.apk | 73.9 KiB | 2024-Oct-25 19:07 |
nymphcast-mediaserver-0.1-r3.apk | 102.9 KiB | 2024-Oct-25 19:07 |
nsh-dbg-0.4.2-r1.apk | 3.3 MiB | 2024-Oct-25 19:07 |
nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2024-Oct-25 19:07 |
nvimpager-doc-0.12.0-r0.apk | 4.4 KiB | 2024-Oct-25 19:07 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-Oct-25 19:07 |
ocaml-angstrom-0.16.0-r0.apk | 176.1 KiB | 2024-Oct-25 19:07 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10.2 KiB | 2024-Oct-25 19:07 |
nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2024-Oct-25 19:07 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 573.8 KiB | 2024-Oct-25 19:07 |
nwg-displays-pyc-0.3.13-r1.apk | 34.8 KiB | 2024-Oct-25 19:07 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-Oct-25 19:07 |
nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-Oct-25 19:07 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-Oct-25 19:07 |
nitrocli-doc-0.4.1-r3.apk | 8.8 KiB | 2024-Oct-25 19:07 |
noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-Oct-25 19:07 |
nitro-2.7_beta8-r2.apk | 473.4 KiB | 2024-Oct-25 19:07 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> | 712.7 KiB | 2024-Oct-25 19:07 |
nm-tray-lang-0.5.0-r0.apk | 26.9 KiB | 2024-Oct-25 19:07 |
nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-Oct-25 19:07 |
noice-0.8-r1.apk | 8.2 KiB | 2024-Oct-25 19:07 |
nitrocli-0.4.1-r3.apk | 376.5 KiB | 2024-Oct-25 19:07 |
nkk-doc-0_git20221010-r0.apk | 7.0 KiB | 2024-Oct-25 19:07 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> | 21.3 KiB | 2024-Oct-25 19:07 |
noice-doc-0.8-r1.apk | 3.4 KiB | 2024-Oct-25 19:07 |
noggin-model-0.1-r0.apk | 12.0 MiB | 2024-Oct-25 19:07 |
nitrocli-bash-completion-0.4.1-r3.apk | 3.2 KiB | 2024-Oct-25 19:07 |
nkk-0_git20221010-r0.apk | 12.3 KiB | 2024-Oct-25 19:07 |
nextpnr-ice40-0.7-r0.apk | 68.5 MiB | 2024-Oct-25 19:07 |
noblenote-1.2.1-r1.apk | 378.2 KiB | 2024-Oct-25 19:07 |
nixpacks-0.1.7-r1.apk | 799.6 KiB | 2024-Oct-25 19:07 |
nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-Oct-25 19:07 |
nm-tray-0.5.0-r0.apk | 91.3 KiB | 2024-Oct-25 19:07 |
nextpnr-gowin-0.7-r0.apk | 1.4 MiB | 2024-Oct-25 19:07 |
nextpnr-generic-0.7-r0.apk | 650.4 KiB | 2024-Oct-25 19:07 |
nextpnr-ecp5-0.7-r0.apk | 25.3 MiB | 2024-Oct-25 19:07 |
nbsdgames-5-r0.apk | 85.1 KiB | 2024-Oct-25 19:07 |
netsed-1.3-r3.apk | 9.3 KiB | 2024-Oct-25 19:07 |
n30f-2.0-r3.apk | 6.3 KiB | 2024-Oct-25 19:07 |
neard-openrc-0.19-r0.apk | 1.7 KiB | 2024-Oct-25 19:07 |
nauty-dev-2.8.9-r0.apk | 2.5 MiB | 2024-Oct-25 19:07 |
nauty-libs-2.8.9-r0.apk | 1.8 MiB | 2024-Oct-25 19:07 |
nemo-gtkhash-plugin-1.5-r0.apk | 20.5 KiB | 2024-Oct-25 19:07 |
mxclient-0_git20211002-r1.apk | 59.9 KiB | 2024-Oct-25 19:07 |
nauty-2.8.9-r0.apk | 4.6 MiB | 2024-Oct-25 19:07 |
neard-0.19-r0.apk | 123.8 KiB | 2024-Oct-25 19:07 |
nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
netscanner-0.5.1-r1.apk | 3.4 MiB | 2024-Oct-25 19:07 |
newsyslog-doc-1.2.0.91-r1.apk | 24.0 KiB | 2024-Oct-25 19:07 |
netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-Oct-25 19:07 |
neard-doc-0.19-r0.apk | 5.6 KiB | 2024-Oct-25 19:07 |
nextpnr-0.7-r0.apk | 1.4 KiB | 2024-Oct-25 19:07 |
newsyslog-1.2.0.91-r1.apk | 18.0 KiB | 2024-Oct-25 19:07 |
nbsdgames-doc-5-r0.apk | 9.4 KiB | 2024-Oct-25 19:07 |
neard-dev-0.19-r0.apk | 11.1 KiB | 2024-Oct-25 19:07 |
moon-buggy-1.0.51-r1.apk | 32.0 KiB | 2024-Oct-25 19:07 |
mpdcron-0.3-r1.apk | 90.0 KiB | 2024-Oct-25 19:07 |
monetdb-11.33.11-r4.apk | 2.1 MiB | 2024-Oct-25 19:07 |
mpdcron-dev-0.3-r1.apk | 52.5 KiB | 2024-Oct-25 19:07 |
moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-Oct-25 19:07 |
mspdebug-0.25-r1.apk | 160.7 KiB | 2024-Oct-25 19:07 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-Oct-25 19:07 |
msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2024-Oct-25 19:07 |
motion-lang-4.7.0-r0.apk | 471.2 KiB | 2024-Oct-25 19:07 |
motion-openrc-4.7.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
mp3val-0.1.8-r1.apk | 12.3 KiB | 2024-Oct-25 19:07 |
motion-doc-4.7.0-r0.apk | 139.9 KiB | 2024-Oct-25 19:07 |
monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-Oct-25 19:07 |
mrsh-dbg-0_git20210518-r1.apk | 206.0 KiB | 2024-Oct-25 19:07 |
mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-Oct-25 19:07 |
mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-Oct-25 19:07 |
mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2024-Oct-25 19:07 |
moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-Oct-25 19:07 |
mrsh-libs-0_git20210518-r1.apk | 49.9 KiB | 2024-Oct-25 19:07 |
mpdcron-doc-0.3-r1.apk | 13.4 KiB | 2024-Oct-25 19:07 |
mrsh-0_git20210518-r1.apk | 5.0 KiB | 2024-Oct-25 19:07 |
mpdris2-0.9.1-r3.apk | 15.2 KiB | 2024-Oct-25 19:07 |
mongo-cxx-driver-3.8.0-r0.apk | 159.3 KiB | 2024-Oct-25 19:07 |
msgpuck-2.0-r1.apk | 1.2 KiB | 2024-Oct-25 19:07 |
mpv-sponsorblock-2.1.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-Oct-25 19:07 |
motion-4.7.0-r0.apk | 133.4 KiB | 2024-Oct-25 19:07 |
monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-Oct-25 19:07 |
mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-Oct-25 19:07 |
msgpuck-dev-2.0-r1.apk | 21.5 KiB | 2024-Oct-25 19:07 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-Oct-25 19:07 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mkg3a-0.5.0-r1.apk | 14.8 KiB | 2024-Oct-25 19:07 |
mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-Oct-25 19:07 |
mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-Oct-25 19:07 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mml-fish-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-Oct-25 19:07 |
mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-Oct-25 19:07 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-Oct-25 19:07 |
mml-1.0.0-r0.apk | 767.1 KiB | 2024-Oct-25 19:07 |
mod_dnssd-0.6-r0.apk | 7.7 KiB | 2024-Oct-25 19:07 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-Oct-25 19:07 |
modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2024-Oct-25 19:07 |
modem-manager-gui-0.0.20-r0.apk | 304.9 KiB | 2024-Oct-25 19:07 |
mml-doc-1.0.0-r0.apk | 3.9 KiB | 2024-Oct-25 19:07 |
modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-Oct-25 19:07 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mmix-0_git20221025-r0.apk | 164.7 KiB | 2024-Oct-25 19:07 |
mm-doc-1.4.2-r1.apk | 14.3 KiB | 2024-Oct-25 19:07 |
mml-bash-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-Oct-25 19:07 |
mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-Oct-25 19:07 |
mm-1.4.2-r1.apk | 6.7 KiB | 2024-Oct-25 19:07 |
mnamer-2.5.5-r1.apk | 31.7 KiB | 2024-Oct-25 19:07 |
mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-Oct-25 19:07 |
mkg3a-doc-0.5.0-r1.apk | 3.1 KiB | 2024-Oct-25 19:07 |
mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-Oct-25 19:07 |
mm-dev-1.4.2-r1.apk | 11.4 KiB | 2024-Oct-25 19:07 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mml-zsh-completion-1.0.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:07 |
mm-common-doc-1.0.5-r0.apk | 31.7 KiB | 2024-Oct-25 19:07 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-Oct-25 19:07 |
mobpass-0.2-r6.apk | 18.0 KiB | 2024-Oct-25 19:07 |
mnamer-pyc-2.5.5-r1.apk | 60.5 KiB | 2024-Oct-25 19:07 |
mobpass-pyc-0.2-r6.apk | 5.2 KiB | 2024-Oct-25 19:07 |
mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-Oct-25 19:07 |
moe-doc-1.14-r0.apk | 19.0 KiB | 2024-Oct-25 19:07 |
mlxl-0.1-r0.apk | 5.3 KiB | 2024-Oct-25 19:07 |
mm-common-1.0.5-r0.apk | 473.0 KiB | 2024-Oct-25 19:07 |
moe-1.14-r0.apk | 91.8 KiB | 2024-Oct-25 19:07 |
mktorrent-borg-0.9.9-r1.apk | 9.5 KiB | 2024-Oct-25 19:07 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-Oct-25 19:07 |
mint-y-theme-gtk2-2.1.1-r0.apk | 656.1 KiB | 2024-Oct-25 19:07 |
mint-y-theme-2.1.1-r0.apk | 4.1 KiB | 2024-Oct-25 19:07 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 207.0 KiB | 2024-Oct-25 19:07 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1.6 MiB | 2024-Oct-25 19:07 |
mint-y-theme-metacity-2.1.1-r0.apk | 57.7 KiB | 2024-Oct-25 19:07 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1.8 MiB | 2024-Oct-25 19:07 |
mint-themes-doc-2.1.1-r0.apk | 12.8 KiB | 2024-Oct-25 19:07 |
minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2024-Oct-25 19:07 |
minisatip-1.3.4-r0.apk | 309.9 KiB | 2024-Oct-25 19:07 |
mimeo-2023-r2.apk | 28.2 KiB | 2024-Oct-25 19:07 |
minimodem-0.24-r1.apk | 18.7 KiB | 2024-Oct-25 19:07 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 34.8 KiB | 2024-Oct-25 19:07 |
minidyndns-1.3.0-r3.apk | 11.6 KiB | 2024-Oct-25 19:07 |
mint-x-theme-2.1.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
mint-themes-2.1.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2024-Oct-25 19:07 |
minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-Oct-25 19:07 |
minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-Oct-25 19:07 |
mint-x-theme-gtk4-2.1.1-r0.apk | 510.5 KiB | 2024-Oct-25 19:07 |
mint-x-theme-metacity-2.1.1-r0.apk | 6.1 KiB | 2024-Oct-25 19:07 |
mint-x-theme-gtk3-2.1.1-r0.apk | 603.5 KiB | 2024-Oct-25 19:07 |
mimeo-pyc-2023-r2.apk | 41.6 KiB | 2024-Oct-25 19:07 |
mint-x-theme-gtk2-2.1.1-r0.apk | 489.9 KiB | 2024-Oct-25 19:07 |
memdump-1.01-r1.apk | 5.2 KiB | 2024-Oct-25 19:07 |
mbrola-3.3-r0.apk | 18.3 KiB | 2024-Oct-25 19:07 |
md5ha1-0_git20171202-r1.apk | 8.9 KiB | 2024-Oct-25 19:07 |
mdp-doc-1.0.15-r1.apk | 3.7 KiB | 2024-Oct-25 19:07 |
memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-Oct-25 19:07 |
merlin-emacs-4.14-r0.apk | 28.5 KiB | 2024-Oct-25 19:07 |
mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-Oct-25 19:07 |
megazeux-2.93b-r0.apk | 1.0 MiB | 2024-Oct-25 19:07 |
mergerfs-2.40.2-r1.apk | 255.1 KiB | 2024-Oct-25 19:07 |
mdnsd-doc-0.12-r1.apk | 14.4 KiB | 2024-Oct-25 19:07 |
mediastreamer2-plugin-x264-20200722-r6.apk | 7.3 KiB | 2024-Oct-25 19:07 |
mdbook-plantuml-0.8.0-r0.apk | 819.0 KiB | 2024-Oct-25 19:07 |
metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-Oct-25 19:07 |
mdnsd-static-0.12-r1.apk | 15.2 KiB | 2024-Oct-25 19:07 |
mdp-1.0.15-r1.apk | 14.5 KiB | 2024-Oct-25 19:07 |
megazeux-doc-2.93b-r0.apk | 453.7 KiB | 2024-Oct-25 19:07 |
merlin-dev-4.14-r0.apk | 22.6 MiB | 2024-Oct-25 19:07 |
maxima-doc-extra-5.47.0-r8.apk | 10.0 MiB | 2024-Oct-25 19:07 |
mdnsd-libs-0.12-r1.apk | 15.3 KiB | 2024-Oct-25 19:07 |
mergerfs-doc-2.40.2-r1.apk | 41.8 KiB | 2024-Oct-25 19:07 |
menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-Oct-25 19:07 |
milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-Oct-25 19:07 |
maxima-emacs-5.47.0-r8.apk | 111.4 KiB | 2024-Oct-25 19:07 |
merlin-vim-4.14-r0.apk | 27.9 KiB | 2024-Oct-25 19:07 |
mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-Oct-25 19:07 |
mcjoin-2.11-r0.apk | 20.5 KiB | 2024-Oct-25 19:07 |
mcqd-1.0.0-r1.apk | 10.7 KiB | 2024-Oct-25 19:07 |
merlin-4.14-r0.apk | 14.3 MiB | 2024-Oct-25 19:07 |
mdnsd-0.12-r1.apk | 21.3 KiB | 2024-Oct-25 19:07 |
milkytracker-1.04.00-r2.apk | 920.8 KiB | 2024-Oct-25 19:07 |
mcqd-dev-1.0.0-r1.apk | 4.0 KiB | 2024-Oct-25 19:07 |
materia-dark-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-Oct-25 19:07 |
mat2-pyc-0.13.4-r3.apk | 53.9 KiB | 2024-Oct-25 19:07 |
materia-kde-20220823-r0.apk | 19.3 KiB | 2024-Oct-25 19:07 |
materia-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:07 |
mat2-0.13.4-r3.apk | 35.4 KiB | 2024-Oct-25 19:07 |
materia-compact-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:07 |
materia-kde-plasma-20220823-r0.apk | 1.7 MiB | 2024-Oct-25 19:07 |
materia-dark-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 19:07 |
materia-dark-kde-plasma-20220823-r0.apk | 502.9 KiB | 2024-Oct-25 19:07 |
materia-gtk2-20210322-r1.apk | 37.8 KiB | 2024-Oct-25 19:07 |
marxan-4.0.7-r1.apk | 476.1 KiB | 2024-Oct-25 19:07 |
materia-compact-gtk2-20210322-r1.apk | 37.8 KiB | 2024-Oct-25 19:07 |
materia-dark-compact-gtk2-20210322-r1.apk | 37.7 KiB | 2024-Oct-25 19:07 |
materia-dark-compact-gtk3-20210322-r1.apk | 41.2 KiB | 2024-Oct-25 19:07 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 19:07 |
materia-dark-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:07 |
maxima-doc-5.47.0-r8.apk | 760.9 KiB | 2024-Oct-25 19:07 |
materia-light-kde-kvantum-20220823-r0.apk | 29.6 KiB | 2024-Oct-25 19:07 |
mat2-doc-0.13.4-r3.apk | 7.7 KiB | 2024-Oct-25 19:07 |
materia-gtk-theme-20210322-r1.apk | 151.1 KiB | 2024-Oct-25 19:07 |
materia-dark-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:07 |
maxima-5.47.0-r8.apk | 21.2 MiB | 2024-Oct-25 19:07 |
materia-compact-gtk3-20210322-r1.apk | 64.5 KiB | 2024-Oct-25 19:07 |
materia-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 19:07 |
materia-gtk3-20210322-r1.apk | 64.7 KiB | 2024-Oct-25 19:07 |
materia-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:07 |
materia-dark-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 19:07 |
materia-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 19:07 |
materia-dark-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:07 |
materia-dark-gtk2-20210322-r1.apk | 37.7 KiB | 2024-Oct-25 19:07 |
maxima-bash-completion-5.47.0-r8.apk | 2.4 KiB | 2024-Oct-25 19:07 |
materia-dark-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-Oct-25 19:07 |
materia-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-Oct-25 19:07 |
materia-dark-compact-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:07 |
materia-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-Oct-25 19:07 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 19:07 |
materia-light-kde-plasma-20220823-r0.apk | 20.1 KiB | 2024-Oct-25 19:07 |
materia-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:07 |
materia-dark-kde-yakuake-20220823-r0.apk | 21.7 KiB | 2024-Oct-25 19:07 |
materia-light-kde-yakuake-20220823-r0.apk | 21.5 KiB | 2024-Oct-25 19:07 |
materia-dark-gtk3-20210322-r1.apk | 41.2 KiB | 2024-Oct-25 19:07 |
mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-Oct-25 19:07 |
mame-plugins-0.251-r0.apk | 166.3 KiB | 2024-Oct-25 19:07 |
mame-mess-0.251-r0.apk | 44.1 MiB | 2024-Oct-25 19:07 |
mame-tools-0.251-r0.apk | 2.2 MiB | 2024-Oct-25 19:07 |
mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-Oct-25 19:07 |
mame-common-0.251-r0.apk | 2.7 KiB | 2024-Oct-25 19:07 |
mame-lang-0.251-r0.apk | 1.4 MiB | 2024-Oct-25 19:07 |
mame-data-0.251-r0.apk | 19.1 MiB | 2024-Oct-25 19:07 |
mame-doc-0.251-r0.apk | 24.1 KiB | 2024-Oct-25 19:07 |
mame-arcade-0.251-r0.apk | 57.3 MiB | 2024-Oct-25 19:07 |
mame-0.251-r0.apk | 82.7 MiB | 2024-Oct-25 19:07 |
makeself-2.5.0-r0.apk | 13.0 KiB | 2024-Oct-25 19:07 |
lzfse-1.0-r0.apk | 19.0 KiB | 2024-Oct-25 19:07 |
m2r2-0.3.3-r3.apk | 12.5 KiB | 2024-Oct-25 19:07 |
ma1sd-openrc-2.5.0-r3.apk | 2.0 KiB | 2024-Oct-25 19:07 |
m2r2-pyc-0.3.3-r3.apk | 15.6 KiB | 2024-Oct-25 19:07 |
ma1sd-2.5.0-r3.apk | 38.1 MiB | 2024-Oct-25 19:07 |
lzfse-dev-1.0-r0.apk | 3.4 KiB | 2024-Oct-25 19:07 |
lynis-bash-completion-3.1.1-r0.apk | 3.0 KiB | 2024-Oct-25 19:07 |
lynis-doc-3.1.1-r0.apk | 49.1 KiB | 2024-Oct-25 19:07 |
lynis-3.1.1-r0.apk | 271.3 KiB | 2024-Oct-25 19:07 |
lua5.2-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:07 |
lua-lupa-1.0-r0.apk | 19.6 KiB | 2024-Oct-25 19:07 |
lua5.3-psl-0.3-r0.apk | 5.2 KiB | 2024-Oct-25 19:07 |
lsdvd-0.17-r0.apk | 12.2 KiB | 2024-Oct-25 19:07 |
lua-resty-redis-0.29-r0.apk | 5.3 KiB | 2024-Oct-25 19:07 |
lua-luastatic-0.0.12-r1.apk | 1.5 KiB | 2024-Oct-25 19:07 |
lshell-0.9.18-r11.apk | 36.5 KiB | 2024-Oct-25 19:07 |
lotide-openrc-0.15.0-r0.apk | 3.1 KiB | 2024-Oct-25 19:07 |
lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-Oct-25 19:07 |
lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-Oct-25 19:07 |
lumina-desktop-sudo-1.6.2-r0.apk | 85.5 KiB | 2024-Oct-25 19:07 |
lsdvd-doc-0.17-r0.apk | 2.5 KiB | 2024-Oct-25 19:07 |
lua5.3-lanes-3.16.0-r1.apk | 56.1 KiB | 2024-Oct-25 19:07 |
lshell-doc-0.9.18-r11.apk | 25.2 KiB | 2024-Oct-25 19:07 |
lua5.3-linenoise-0.9-r1.apk | 14.9 KiB | 2024-Oct-25 19:07 |
lua-lut-1.2.1-r0.apk | 88.7 KiB | 2024-Oct-25 19:07 |
lua5.1-lanes-3.16.0-r1.apk | 55.4 KiB | 2024-Oct-25 19:07 |
lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-Oct-25 19:07 |
lua5.2-luastatic-0.0.12-r1.apk | 8.7 KiB | 2024-Oct-25 19:07 |
lua5.1-psl-0.3-r0.apk | 5.2 KiB | 2024-Oct-25 19:07 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 145.2 KiB | 2024-Oct-25 19:07 |
lua5.4-lanes-3.16.0-r1.apk | 56.0 KiB | 2024-Oct-25 19:07 |
lua5.2-linenoise-0.9-r1.apk | 14.9 KiB | 2024-Oct-25 19:07 |
lua5.4-luastatic-0.0.12-r1.apk | 8.8 KiB | 2024-Oct-25 19:07 |
lua5.1-linenoise-0.9-r1.apk | 14.8 KiB | 2024-Oct-25 19:07 |
lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2024-Oct-25 19:07 |
lsmash-dev-2.14.5-r2.apk | 325.8 KiB | 2024-Oct-25 19:07 |
lumina-desktop-coreutils-1.6.2-r0.apk | 764.1 KiB | 2024-Oct-25 19:07 |
lua5.4-linenoise-0.9-r1.apk | 14.8 KiB | 2024-Oct-25 19:07 |
lumina-desktop-fm-1.6.2-r0.apk | 359.8 KiB | 2024-Oct-25 19:07 |
lxappearance-dev-0.6.3-r3.apk | 3.2 KiB | 2024-Oct-25 19:07 |
lumina-desktop-photo-1.6.2-r0.apk | 112.9 KiB | 2024-Oct-25 19:07 |
lua-lanes-3.16.0-r1.apk | 1.4 KiB | 2024-Oct-25 19:07 |
lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2024-Oct-25 19:07 |
lsmash-2.14.5-r2.apk | 250.9 KiB | 2024-Oct-25 19:07 |
lxappearance-doc-0.6.3-r3.apk | 2.6 KiB | 2024-Oct-25 19:07 |
lutgen-0.11.2-r0.apk | 1.4 MiB | 2024-Oct-25 19:07 |
lua5.1-xml-1.1.3-r2.apk | 21.4 KiB | 2024-Oct-25 19:07 |
lua5.2-libmodbus-0.6.1-r0.apk | 8.6 KiB | 2024-Oct-25 19:07 |
lua5.3-editorconfig-0.3.0-r0.apk | 4.0 KiB | 2024-Oct-25 19:07 |
lua5.2-psl-0.3-r0.apk | 5.1 KiB | 2024-Oct-25 19:07 |
lua5.2-lanes-3.16.0-r1.apk | 55.2 KiB | 2024-Oct-25 19:07 |
lua5.2-xml-1.1.3-r2.apk | 21.3 KiB | 2024-Oct-25 19:07 |
lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-Oct-25 19:07 |
lua5.1-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:07 |
lrcalc-2.1-r1.apk | 10.5 KiB | 2024-Oct-25 19:07 |
lotide-0.15.0-r0.apk | 3.2 MiB | 2024-Oct-25 19:07 |
lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 19:07 |
lua5.4-editorconfig-0.3.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:07 |
lumins-0.4.0-r2.apk | 589.0 KiB | 2024-Oct-25 19:07 |
lutgen-bash-completion-0.11.2-r0.apk | 1.7 KiB | 2024-Oct-25 19:07 |
lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-Oct-25 19:07 |
lua5.3-luastatic-0.0.12-r1.apk | 8.7 KiB | 2024-Oct-25 19:07 |
lsix-1.8.2-r0.apk | 6.5 KiB | 2024-Oct-25 19:07 |
lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2024-Oct-25 19:07 |
lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2024-Oct-25 19:07 |
lshell-pyc-0.9.18-r11.apk | 35.3 KiB | 2024-Oct-25 19:07 |
lout-3.42.2-r0.apk | 1.4 MiB | 2024-Oct-25 19:07 |
luksmeta-dev-9-r0.apk | 3.1 KiB | 2024-Oct-25 19:07 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 184.7 KiB | 2024-Oct-25 19:07 |
lutgen-zsh-completion-0.11.2-r0.apk | 1.6 KiB | 2024-Oct-25 19:07 |
lua5.3-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:07 |
lumina-desktop-archiver-1.6.2-r0.apk | 151.8 KiB | 2024-Oct-25 19:07 |
luksmeta-doc-9-r0.apk | 5.5 KiB | 2024-Oct-25 19:07 |
lua-linenoise-0.9-r1.apk | 1.2 KiB | 2024-Oct-25 19:07 |
lutgen-doc-0.11.2-r0.apk | 4.2 KiB | 2024-Oct-25 19:07 |
lrcalc-libs-2.1-r1.apk | 21.0 KiB | 2024-Oct-25 19:07 |
luapak-0.1.0_beta5-r0.apk | 35.3 KiB | 2024-Oct-25 19:07 |
lowjs-doc-1.6.2-r2.apk | 3.0 KiB | 2024-Oct-25 19:07 |
lxappearance-lang-0.6.3-r3.apk | 80.5 KiB | 2024-Oct-25 19:07 |
lua-lcurses-9.0.0-r0.apk | 1.2 KiB | 2024-Oct-25 19:07 |
lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-Oct-25 19:07 |
lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 19:07 |
lua5.4-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:07 |
lua-psl-0.3-r0.apk | 1.1 KiB | 2024-Oct-25 19:07 |
lua5.1-libmodbus-0.6.1-r0.apk | 8.6 KiB | 2024-Oct-25 19:07 |
lutgen-fish-completion-0.11.2-r0.apk | 1.7 KiB | 2024-Oct-25 19:07 |
luacov-0.15.0-r0.apk | 1.5 KiB | 2024-Oct-25 19:07 |
lumina-desktop-screenshot-1.6.2-r0.apk | 149.1 KiB | 2024-Oct-25 19:07 |
lua5.2-editorconfig-0.3.0-r0.apk | 4.0 KiB | 2024-Oct-25 19:07 |
luacov-html-1.0.0-r1.apk | 1.2 KiB | 2024-Oct-25 19:07 |
lua5.1-luastatic-0.0.12-r1.apk | 62.1 KiB | 2024-Oct-25 19:07 |
lua5.1-libguestfs-1.52.0-r1.apk | 74.5 KiB | 2024-Oct-25 19:07 |
lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2024-Oct-25 19:07 |
lxappearance-0.6.3-r3.apk | 27.7 KiB | 2024-Oct-25 19:07 |
lumina-desktop-textedit-1.6.2-r0.apk | 174.5 KiB | 2024-Oct-25 19:07 |
lua5.1-lcurses-9.0.0-r0.apk | 21.2 KiB | 2024-Oct-25 19:07 |
lua-resty-upload-0.11-r0.apk | 3.6 KiB | 2024-Oct-25 19:07 |
lumina-desktop-core-1.6.2-r0.apk | 8.8 MiB | 2024-Oct-25 19:07 |
luksmeta-9-r0.apk | 11.6 KiB | 2024-Oct-25 19:07 |
lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-Oct-25 19:07 |
logc-argp-0.5.0-r0.apk | 13.5 KiB | 2024-Oct-25 19:07 |
logwatch-7.10-r1.apk | 483.5 KiB | 2024-Oct-25 19:07 |
log4cpp-1.1.4-r1.apk | 64.7 KiB | 2024-Oct-25 19:07 |
liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-Oct-25 19:07 |
logc-czmq-0.1.0-r0.apk | 3.8 KiB | 2024-Oct-25 19:07 |
lol-html-1.1.1-r1.apk | 397.4 KiB | 2024-Oct-25 19:07 |
logc-dev-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 19:07 |
logc-config-0.5.0-r0.apk | 4.7 KiB | 2024-Oct-25 19:07 |
llmnrd-0.7-r1.apk | 16.2 KiB | 2024-Oct-25 19:07 |
logc-libs-dev-0.1.0-r0.apk | 5.2 KiB | 2024-Oct-25 19:07 |
litterbox-doc-1.9-r1.apk | 7.2 KiB | 2024-Oct-25 19:07 |
logc-0.5.0-r0.apk | 6.8 KiB | 2024-Oct-25 19:07 |
llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-Oct-25 19:07 |
liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2024-Oct-25 19:07 |
lolcat-1.4-r0.apk | 9.3 KiB | 2024-Oct-25 19:07 |
logtop-0.7-r0.apk | 11.2 KiB | 2024-Oct-25 19:07 |
lockrun-1.1.3-r1.apk | 5.1 KiB | 2024-Oct-25 19:07 |
log4cpp-dev-1.1.4-r1.apk | 38.9 KiB | 2024-Oct-25 19:07 |
llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-Oct-25 19:07 |
logc-libevent-0.1.0-r0.apk | 3.2 KiB | 2024-Oct-25 19:07 |
logwatch-doc-7.10-r1.apk | 38.1 KiB | 2024-Oct-25 19:07 |
logc-libs-0.1.0-r0.apk | 1.4 KiB | 2024-Oct-25 19:07 |
litterbox-1.9-r1.apk | 27.3 KiB | 2024-Oct-25 19:07 |
logtop-doc-0.7-r0.apk | 2.8 KiB | 2024-Oct-25 19:07 |
lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-Oct-25 19:07 |
logtop-libs-0.7-r0.apk | 12.1 KiB | 2024-Oct-25 19:07 |
linphone-5.3.38-r0.apk | 9.0 MiB | 2024-Oct-25 19:07 |
limnoria-20240828-r0.apk | 1.1 MiB | 2024-Oct-25 19:07 |
limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-Oct-25 19:07 |
limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-Oct-25 19:07 |
linux-apfs-rw-src-0.3.8-r0.apk | 197.0 KiB | 2024-Oct-25 19:07 |
linux-timemachine-1.3.2-r0.apk | 5.1 KiB | 2024-Oct-25 19:07 |
linphone-libs-5.3.38-r0.apk | 2.4 MiB | 2024-Oct-25 19:07 |
libtins-4.5-r1.apk | 272.4 KiB | 2024-Oct-25 19:07 |
libsirocco-2.1.0-r2.apk | 54.0 KiB | 2024-Oct-25 19:07 |
libuninameslist-20230916-r0.apk | 425.2 KiB | 2024-Oct-25 19:07 |
libtins-doc-4.5-r1.apk | 2.3 KiB | 2024-Oct-25 19:07 |
libsigrokdecode-dev-0.5.3-r4.apk | 35.0 KiB | 2024-Oct-25 19:07 |
libvdpau-va-gl-0.4.2-r0.apk | 47.3 KiB | 2024-Oct-25 19:07 |
libretro-theodore-3.1-r0.apk | 866.3 KiB | 2024-Oct-25 19:07 |
libuninameslist-dev-20230916-r0.apk | 3.4 KiB | 2024-Oct-25 19:07 |
libsymmetrica-static-3.0.1-r2.apk | 4.2 MiB | 2024-Oct-25 19:07 |
libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-Oct-25 19:07 |
libserialport-0.1.1-r1.apk | 20.0 KiB | 2024-Oct-25 19:07 |
libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2024-Oct-25 19:07 |
libsemanage-dev-3.6-r1.apk | 128.8 KiB | 2024-Oct-25 19:07 |
libsemanage-3.6-r1.apk | 83.0 KiB | 2024-Oct-25 19:07 |
libzn_poly-dev-0.9.2-r2.apk | 8.1 KiB | 2024-Oct-25 19:07 |
libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2024-Oct-25 19:07 |
libtins-dev-4.5-r1.apk | 141.3 KiB | 2024-Oct-25 19:07 |
licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-Oct-25 19:07 |
libsds-2.0.0-r1.apk | 8.4 KiB | 2024-Oct-25 19:07 |
libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-Oct-25 19:07 |
libserialport-dev-0.1.1-r1.apk | 36.6 KiB | 2024-Oct-25 19:07 |
libwhich-1.2.0-r0.apk | 4.5 KiB | 2024-Oct-25 19:07 |
libsbsms-dev-2.3.0-r0.apk | 122.0 KiB | 2024-Oct-25 19:07 |
libzn_poly-0.9.2-r2.apk | 39.8 KiB | 2024-Oct-25 19:07 |
libucl-doc-0.9.0-r0.apk | 8.8 KiB | 2024-Oct-25 19:07 |
libwbxml-0.11.8-r0.apk | 57.8 KiB | 2024-Oct-25 19:07 |
libsymmetrica-dev-3.0.1-r2.apk | 32.0 KiB | 2024-Oct-25 19:07 |
libzn_poly-static-0.9.2-r2.apk | 41.9 KiB | 2024-Oct-25 19:07 |
libvoikko-4.3.2-r1.apk | 107.7 KiB | 2024-Oct-25 19:07 |
libretro-scummvm-0_git20210325-r0.apk | 16.4 MiB | 2024-Oct-25 19:07 |
libtsm-4.0.2-r1.apk | 22.2 KiB | 2024-Oct-25 19:07 |
libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-Oct-25 19:07 |
libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-Oct-25 19:07 |
libsbsms-2.3.0-r0.apk | 91.3 KiB | 2024-Oct-25 19:07 |
libvmaf-dev-3.0.0-r0.apk | 180.7 KiB | 2024-Oct-25 19:07 |
libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-Oct-25 19:07 |
libsirocco-dev-2.1.0-r2.apk | 1.9 KiB | 2024-Oct-25 19:07 |
libucl-dev-0.9.0-r0.apk | 71.3 KiB | 2024-Oct-25 19:07 |
licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-Oct-25 19:07 |
libretro-tyrquake-0_git20220409-r0.apk | 338.8 KiB | 2024-Oct-25 19:07 |
libtsm-dev-4.0.2-r1.apk | 9.5 KiB | 2024-Oct-25 19:07 |
libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-Oct-25 19:07 |
libvisio2svg-0.5.5-r3.apk | 12.8 KiB | 2024-Oct-25 19:07 |
libsymmetrica-3.0.1-r2.apk | 3.8 MiB | 2024-Oct-25 19:07 |
libsigrok-0.5.2-r3.apk | 437.5 KiB | 2024-Oct-25 19:07 |
libretro-snes9x-0_git20240819-r0.apk | 635.1 KiB | 2024-Oct-25 19:07 |
libsigrokdecode-0.5.3-r4.apk | 331.6 KiB | 2024-Oct-25 19:07 |
libupstart-2.0.3-r5.apk | 63.3 KiB | 2024-Oct-25 19:07 |
libucl-0.9.0-r0.apk | 46.1 KiB | 2024-Oct-25 19:07 |
libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2024-Oct-25 19:07 |
libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-Oct-25 19:07 |
libvisio2svg-utils-0.5.5-r3.apk | 101.2 KiB | 2024-Oct-25 19:07 |
libretro-xrick-0_git20220331-r0.apk | 106.8 KiB | 2024-Oct-25 19:07 |
libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 19:07 |
libvmaf-3.0.0-r0.apk | 305.4 KiB | 2024-Oct-25 19:07 |
libretro-mame2000-0_git20240701-r0.apk | 2.5 MiB | 2024-Oct-25 19:07 |
libmysofa-1.3.2-r0.apk | 22.8 KiB | 2024-Oct-25 19:07 |
libopensles-standalone-dev-0_git20240221-r0.apk | 1.5 KiB | 2024-Oct-25 19:07 |
liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-Oct-25 19:07 |
libretro-daphne-0_git20210108-r2.apk | 516.2 KiB | 2024-Oct-25 19:07 |
libmhash-doc-0.9.9.9-r3.apk | 8.1 KiB | 2024-Oct-25 19:07 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 317.6 KiB | 2024-Oct-25 19:07 |
libopensles-standalone-dbg-0_git20240221-r0.apk | 242.5 KiB | 2024-Oct-25 19:07 |
libqd-dev-2.3.24-r0.apk | 57.7 KiB | 2024-Oct-25 19:07 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 260.7 KiB | 2024-Oct-25 19:07 |
libraqm-doc-0.10.2-r0.apk | 21.1 KiB | 2024-Oct-25 19:07 |
libretro-nxengine-0_git20220301-r0.apk | 249.2 KiB | 2024-Oct-25 19:07 |
libretro-bluemsx-0_git20240808-r0.apk | 560.9 KiB | 2024-Oct-25 19:07 |
libretro-cannonball-0_git20220309-r6.apk | 196.6 KiB | 2024-Oct-25 19:07 |
libreoffice-voikko-5.0_git20200127-r0.apk | 45.4 KiB | 2024-Oct-25 19:07 |
libmygpo-qt-dev-1.1.0-r2.apk | 11.9 KiB | 2024-Oct-25 19:07 |
libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-Oct-25 19:07 |
libqd-doc-2.3.24-r0.apk | 182.4 KiB | 2024-Oct-25 19:07 |
libretro-cap32-0_git20220419-r0.apk | 285.7 KiB | 2024-Oct-25 19:07 |
libretro-neocd-0_git20220325-r1.apk | 360.8 KiB | 2024-Oct-25 19:07 |
libretro-fuse-0_git20220417-r0.apk | 770.5 KiB | 2024-Oct-25 19:07 |
libnih-dev-1.0.3-r7.apk | 111.8 KiB | 2024-Oct-25 19:07 |
libntl-doc-11.5.1-r4.apk | 373.6 KiB | 2024-Oct-25 19:07 |
libnxml-dev-0.18.3-r0.apk | 26.2 KiB | 2024-Oct-25 19:07 |
libnfc-doc-1.8.0-r1.apk | 22.0 KiB | 2024-Oct-25 19:07 |
libretro-mame2003-0_git20240904-r0.apk | 6.4 MiB | 2024-Oct-25 19:07 |
libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-Oct-25 19:07 |
libqd-static-2.3.24-r0.apk | 229.6 KiB | 2024-Oct-25 19:07 |
libmrss-0.19.2-r1.apk | 16.8 KiB | 2024-Oct-25 19:07 |
liboggz-dev-1.1.1-r2.apk | 154.4 KiB | 2024-Oct-25 19:07 |
libntl-dev-11.5.1-r4.apk | 158.7 KiB | 2024-Oct-25 19:07 |
libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-Oct-25 19:07 |
libmysofa-dev-1.3.2-r0.apk | 7.0 KiB | 2024-Oct-25 19:07 |
libqd-2.3.24-r0.apk | 141.6 KiB | 2024-Oct-25 19:07 |
libretro-mu-0_git20220317-r0.apk | 130.9 KiB | 2024-Oct-25 19:07 |
libmpfi-1.5.4-r2.apk | 27.1 KiB | 2024-Oct-25 19:07 |
libretro-gong-0_git20220319-r0.apk | 7.8 KiB | 2024-Oct-25 19:07 |
libnxml-0.18.3-r0.apk | 17.4 KiB | 2024-Oct-25 19:07 |
libmustache-0.5.0-r1.apk | 76.4 KiB | 2024-Oct-25 19:07 |
libretro-gw-0_git20220410-r0.apk | 150.3 KiB | 2024-Oct-25 19:07 |
libntl-11.5.1-r4.apk | 960.7 KiB | 2024-Oct-25 19:07 |
libraqm-dev-0.10.2-r0.apk | 4.5 KiB | 2024-Oct-25 19:07 |
libretro-freeintv-0_git20220319-r0.apk | 30.2 KiB | 2024-Oct-25 19:07 |
libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-Oct-25 19:07 |
libntl-static-11.5.1-r4.apk | 1.5 MiB | 2024-Oct-25 19:07 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 309.3 KiB | 2024-Oct-25 19:07 |
libopensles-standalone-0_git20240221-r0.apk | 33.7 KiB | 2024-Oct-25 19:07 |
libretro-frodo-0_git20221221-r0.apk | 140.0 KiB | 2024-Oct-25 19:07 |
libretro-fbneo-0_git20220416-r0.apk | 9.1 MiB | 2024-Oct-25 19:07 |
liboggz-1.1.1-r2.apk | 110.6 KiB | 2024-Oct-25 19:07 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1.3 MiB | 2024-Oct-25 19:07 |
libnih-1.0.3-r7.apk | 109.4 KiB | 2024-Oct-25 19:07 |
libretro-opera-0_git20211214-r0.apk | 148.8 KiB | 2024-Oct-25 19:07 |
libnfc-tools-1.8.0-r1.apk | 55.8 KiB | 2024-Oct-25 19:07 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 795.8 KiB | 2024-Oct-25 19:07 |
libmhash-0.9.9.9-r3.apk | 97.3 KiB | 2024-Oct-25 19:07 |
libnfc-dev-1.8.0-r1.apk | 7.9 KiB | 2024-Oct-25 19:07 |
libmygpo-qt-1.1.0-r2.apk | 65.9 KiB | 2024-Oct-25 19:07 |
libretro-pocketcdg-0_git20220327-r0.apk | 78.8 KiB | 2024-Oct-25 19:07 |
libnfc-1.8.0-r1.apk | 51.0 KiB | 2024-Oct-25 19:07 |
libraqm-0.10.2-r0.apk | 8.9 KiB | 2024-Oct-25 19:07 |
libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-Oct-25 19:07 |
libretro-crocods-0_git20210314-r1.apk | 249.9 KiB | 2024-Oct-25 19:07 |
libmpfi-static-1.5.4-r2.apk | 40.0 KiB | 2024-Oct-25 19:07 |
libofx-tools-0.10.9-r1.apk | 101.3 KiB | 2024-Oct-25 19:07 |
libretro-gme-0_git20240628-r0.apk | 160.3 KiB | 2024-Oct-25 19:07 |
libretro-parallel-n64-0_git20220406-r0.apk | 805.1 KiB | 2024-Oct-25 19:07 |
libretro-atari800-0_git20240924-r0.apk | 250.1 KiB | 2024-Oct-25 19:07 |
libmrss-dev-0.19.2-r1.apk | 27.9 KiB | 2024-Oct-25 19:07 |
libmhash-dev-0.9.9.9-r3.apk | 109.8 KiB | 2024-Oct-25 19:07 |
libofx-0.10.9-r1.apk | 59.4 KiB | 2024-Oct-25 19:07 |
libretro-dinothawr-0_git20220401-r0.apk | 108.5 KiB | 2024-Oct-25 19:07 |
libretro-picodrive-0_git20220405-r0.apk | 407.5 KiB | 2024-Oct-25 19:07 |
libretro-openlara-0_git20210121-r0.apk | 419.7 KiB | 2024-Oct-25 19:07 |
libgivaro-dev-4.2.0-r2.apk | 244.4 KiB | 2024-Oct-25 19:07 |
libemf2svg-1.1.0-r2.apk | 130.8 KiB | 2024-Oct-25 19:07 |
liberasurecode-1.6.3-r1.apk | 32.7 KiB | 2024-Oct-25 19:07 |
libgrapheme-dev-1-r0.apk | 9.4 KiB | 2024-Oct-25 19:07 |
libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-Oct-25 19:07 |
libguestfs-1.52.0-r1.apk | 322.5 KiB | 2024-Oct-25 19:07 |
libiml-dev-1.0.5-r3.apk | 3.9 KiB | 2024-Oct-25 19:07 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-Oct-25 19:07 |
libfort-0.4.2-r0.apk | 26.2 KiB | 2024-Oct-25 19:07 |
libgivaro-static-4.2.0-r2.apk | 90.5 KiB | 2024-Oct-25 19:07 |
libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-Oct-25 19:07 |
libhwpwm-doc-0.4.4-r0.apk | 13.0 KiB | 2024-Oct-25 19:07 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 144.8 KiB | 2024-Oct-25 19:07 |
libfishsound-dev-1.0.0-r1.apk | 53.1 KiB | 2024-Oct-25 19:07 |
libettercap-0.8.3.1-r3.apk | 177.7 KiB | 2024-Oct-25 19:07 |
libfishsound-1.0.0-r1.apk | 7.2 KiB | 2024-Oct-25 19:07 |
libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-Oct-25 19:07 |
libiscsi-static-1.19.0-r2.apk | 65.1 KiB | 2024-Oct-25 19:07 |
libgivaro-4.2.0-r2.apk | 71.4 KiB | 2024-Oct-25 19:07 |
liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-Oct-25 19:07 |
libiml-1.0.5-r3.apk | 57.5 KiB | 2024-Oct-25 19:07 |
libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2024-Oct-25 19:07 |
libfyaml-dev-0.9-r0.apk | 42.3 KiB | 2024-Oct-25 19:07 |
libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-Oct-25 19:07 |
libmdf-1.0.29-r0.apk | 31.4 KiB | 2024-Oct-25 19:07 |
libmdbx-0.11.8-r0.apk | 639.7 KiB | 2024-Oct-25 19:07 |
libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-Oct-25 19:07 |
libjodycode-3.1.1-r0.apk | 7.0 KiB | 2024-Oct-25 19:07 |
libfyaml-doc-0.9-r0.apk | 7.4 KiB | 2024-Oct-25 19:07 |
libguestfs-static-1.52.0-r1.apk | 454.1 KiB | 2024-Oct-25 19:07 |
libgdcm-3.0.24-r0.apk | 2.5 MiB | 2024-Oct-25 19:07 |
libiscsi-utils-1.19.0-r2.apk | 76.0 KiB | 2024-Oct-25 19:07 |
libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-Oct-25 19:07 |
libemf2svg-utils-1.1.0-r2.apk | 16.3 KiB | 2024-Oct-25 19:07 |
libmdbx-dbg-0.11.8-r0.apk | 2.3 MiB | 2024-Oct-25 19:07 |
libiio-tools-0.25-r2.apk | 66.1 KiB | 2024-Oct-25 19:07 |
libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2024-Oct-25 19:07 |
libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-Oct-25 19:07 |
libiscsi-1.19.0-r2.apk | 52.0 KiB | 2024-Oct-25 19:07 |
libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:07 |
libfoma-0.10.0_git20240712-r0.apk | 88.6 KiB | 2024-Oct-25 19:07 |
libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-Oct-25 19:07 |
libguestfs-dev-1.52.0-r1.apk | 29.3 KiB | 2024-Oct-25 19:07 |
libiio-0.25-r2.apk | 43.2 KiB | 2024-Oct-25 19:07 |
libiml-static-1.0.5-r3.apk | 57.1 KiB | 2024-Oct-25 19:07 |
libhomfly-1.02_p6-r1.apk | 12.6 KiB | 2024-Oct-25 19:07 |
libecap-1.0.1-r1.apk | 12.8 KiB | 2024-Oct-25 19:07 |
libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2024-Oct-25 19:07 |
libhomfly-dev-1.02_p6-r1.apk | 15.3 KiB | 2024-Oct-25 19:07 |
libhwpwm-dev-0.4.4-r0.apk | 5.2 KiB | 2024-Oct-25 19:07 |
libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2024-Oct-25 19:07 |
libgrapheme-doc-1-r0.apk | 8.0 KiB | 2024-Oct-25 19:07 |
libgrapheme-1-r0.apk | 9.1 KiB | 2024-Oct-25 19:07 |
libecap-static-1.0.1-r1.apk | 18.1 KiB | 2024-Oct-25 19:07 |
libhwpwm-0.4.4-r0.apk | 5.8 KiB | 2024-Oct-25 19:07 |
libguestfs-doc-1.52.0-r1.apk | 559.8 KiB | 2024-Oct-25 19:07 |
libfyaml-0.9-r0.apk | 228.5 KiB | 2024-Oct-25 19:07 |
libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-Oct-25 19:07 |
libantlr3c-dev-3.4-r3.apk | 58.4 KiB | 2024-Oct-25 19:07 |
libcork-tools-0.15.0-r7.apk | 4.4 KiB | 2024-Oct-25 19:07 |
libcotp-3.1.0-r0.apk | 7.5 KiB | 2024-Oct-25 19:07 |
libbsoncxx-3.8.0-r0.apk | 40.3 KiB | 2024-Oct-25 19:07 |
libblastrampoline-dev-5.2.0-r0.apk | 94.4 KiB | 2024-Oct-25 19:07 |
libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-Oct-25 19:07 |
libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-Oct-25 19:07 |
libabigail-dev-2.3-r0.apk | 1.3 MiB | 2024-Oct-25 19:07 |
libcli-1.10.7-r0.apk | 24.3 KiB | 2024-Oct-25 19:07 |
libabigail-bash-completion-2.3-r0.apk | 3.0 KiB | 2024-Oct-25 19:07 |
libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-Oct-25 19:07 |
kubesplit-pyc-0.3.3-r1.apk | 13.0 KiB | 2024-Oct-25 19:07 |
levmar-dev-2.6-r0.apk | 42.6 KiB | 2024-Oct-25 19:07 |
lfm-doc-3.1-r4.apk | 2.8 KiB | 2024-Oct-25 19:07 |
libctl-4.5.1-r1.apk | 84.2 KiB | 2024-Oct-25 19:07 |
libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-Oct-25 19:07 |
libaudec-0.3.4-r3.apk | 26.3 KiB | 2024-Oct-25 19:07 |
libb64-dev-2.0.0.1-r0.apk | 5.3 KiB | 2024-Oct-25 19:07 |
libblastrampoline-5.2.0-r0.apk | 281.8 KiB | 2024-Oct-25 19:07 |
libabigail-tools-2.3-r0.apk | 90.5 KiB | 2024-Oct-25 19:07 |
ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 19:07 |
libaudec-static-0.3.4-r3.apk | 27.6 KiB | 2024-Oct-25 19:07 |
libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-Oct-25 19:07 |
lfm-3.1-r4.apk | 88.1 KiB | 2024-Oct-25 19:07 |
libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-Oct-25 19:07 |
lfm-pyc-3.1-r4.apk | 133.7 KiB | 2024-Oct-25 19:07 |
libcotp-dev-3.1.0-r0.apk | 2.5 KiB | 2024-Oct-25 19:07 |
libcyaml-1.4.2-r0.apk | 17.2 KiB | 2024-Oct-25 19:07 |
libabigail-2.3-r0.apk | 716.8 KiB | 2024-Oct-25 19:07 |
libcorkipset-tools-1.1.1-r4.apk | 11.3 KiB | 2024-Oct-25 19:07 |
ledmon-1.0.0-r0.apk | 68.9 KiB | 2024-Oct-25 19:07 |
libctl-dev-4.5.1-r1.apk | 38.6 KiB | 2024-Oct-25 19:07 |
libantlr3c-3.4-r3.apk | 34.5 KiB | 2024-Oct-25 19:07 |
libcyaml-static-1.4.2-r0.apk | 20.0 KiB | 2024-Oct-25 19:07 |
libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-Oct-25 19:07 |
libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-Oct-25 19:07 |
libabigail-doc-2.3-r0.apk | 61.2 KiB | 2024-Oct-25 19:07 |
libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-Oct-25 19:07 |
libcork-0.15.0-r7.apk | 27.0 KiB | 2024-Oct-25 19:07 |
libcec-rpi-6.0.2-r4.apk | 159.2 KiB | 2024-Oct-25 19:07 |
libaudec-tools-0.3.4-r3.apk | 26.4 KiB | 2024-Oct-25 19:07 |
libcec-rpi-dev-6.0.2-r4.apk | 24.6 KiB | 2024-Oct-25 19:07 |
libb64-2.0.0.1-r0.apk | 4.3 KiB | 2024-Oct-25 19:07 |
libcorkipset-1.1.1-r4.apk | 10.5 KiB | 2024-Oct-25 19:07 |
libbamf-0.5.6-r1.apk | 126.3 KiB | 2024-Oct-25 19:07 |
kubesplit-0.3.3-r1.apk | 12.7 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> | 20.9 KiB | 2024-Oct-25 19:07 |
kodi-audioencoder-wav-20.2.0-r1.apk | 26.0 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 22.7 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-atari800-3.1.0.28-r0.apk | 240.7 KiB | 2024-Oct-25 19:07 |
kondo-0.8-r0.apk | 596.1 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1.3 MiB | 2024-Oct-25 19:07 |
kodi-audioencoder-flac-20.2.0-r1.apk | 42.0 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-theodore-0.0.1.32-r0.apk | 16.0 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-20.1.0-r0.apk | 108.5 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 15.4 KiB | 2024-Oct-25 19:07 |
kodi-vfs-rar-20.1.0-r1.apk | 383.7 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 53.8 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> | 19.8 KiB | 2024-Oct-25 19:07 |
kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 19:07 |
kodi-vfs-sacd-20.1.0-r1.apk | 86.4 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 64.0 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-mgba-0.11.0.44-r0.apk | 19.4 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 16.7 KiB | 2024-Oct-25 19:07 |
ktx-libs-4.3.2-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
kodi-peripheral-joystick-20.1.9-r0.apk | 197.5 KiB | 2024-Oct-25 19:07 |
kodi-audioencoder-vorbis-20.2.0-r1.apk | 33.6 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-desmume-0.0.1.28-r0.apk | 63.6 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 14.8 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-frodo-0.0.1.25-r0.apk | 160.4 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 16.4 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 26.0 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-mame2000-0.37.0.32-r0.apk | 326.4 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 73.8 KiB | 2024-Oct-25 19:07 |
kodi-vfs-sftp-20.2.0-r1.apk | 50.8 KiB | 2024-Oct-25 19:07 |
kondo-zsh-completion-0.8-r0.apk | 2.4 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 19.7 KiB | 2024-Oct-25 19:07 |
ktx-dev-4.3.2-r0.apk | 29.2 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 180.0 KiB | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 17.5 KiB | 2024-Oct-25 19:07 |
kodi-audioencoder-lame-20.3.0-r1.apk | 91.1 KiB | 2024-Oct-25 19:07 |
kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 19:07 |
ktx-4.3.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:07 |
keystone-0.9.2-r6.apk | 1.3 MiB | 2024-Oct-25 19:07 |
keystone-dev-0.9.2-r6.apk | 7.3 KiB | 2024-Oct-25 19:07 |
khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-Oct-25 19:07 |
keystone-python-pyc-0.9.2-r6.apk | 9.7 KiB | 2024-Oct-25 19:07 |
kgraphviewer-2.5.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
klevernotes-lang-1.1.0-r0.apk | 142.1 KiB | 2024-Oct-25 19:07 |
kfc-0.1.4-r0.apk | 57.6 KiB | 2024-Oct-25 19:07 |
kgraphviewer-lang-2.5.0-r0.apk | 230.4 KiB | 2024-Oct-25 19:07 |
khronos-4.0.1-r0.apk | 46.8 KiB | 2024-Oct-25 19:07 |
kmscon-doc-9.0.0-r0.apk | 7.7 KiB | 2024-Oct-25 19:07 |
keystone-python-0.9.2-r6.apk | 1.5 MiB | 2024-Oct-25 19:07 |
klevernotes-1.1.0-r0.apk | 2.1 MiB | 2024-Oct-25 19:07 |
kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-Oct-25 19:07 |
kgraphviewer-dev-2.5.0-r0.apk | 6.3 KiB | 2024-Oct-25 19:07 |
kmscon-9.0.0-r0.apk | 785.2 KiB | 2024-Oct-25 19:07 |
keepassxc-browser-1.8.9-r0.apk | 876.4 KiB | 2024-Oct-25 19:07 |
kdiskmark-lang-3.1.4-r1.apk | 26.7 KiB | 2024-Oct-25 19:07 |
kannel-doc-1.5.0-r11.apk | 6.2 KiB | 2024-Oct-25 19:07 |
kannel-1.5.0-r11.apk | 5.6 MiB | 2024-Oct-25 19:07 |
kbs2-bash-completion-0.7.2-r3.apk | 3.1 KiB | 2024-Oct-25 19:07 |
kbs2-0.7.2-r3.apk | 915.4 KiB | 2024-Oct-25 19:07 |
kdiskmark-3.1.4-r1.apk | 153.7 KiB | 2024-Oct-25 19:07 |
kbs2-zsh-completion-0.7.2-r3.apk | 4.0 KiB | 2024-Oct-25 19:07 |
kannel-dev-1.5.0-r11.apk | 881.8 KiB | 2024-Oct-25 19:07 |
kbs2-fish-completion-0.7.2-r3.apk | 3.2 KiB | 2024-Oct-25 19:07 |
junit2html-pyc-31.0.2-r0.apk | 23.6 KiB | 2024-Oct-25 19:07 |
k2-0_git20220807-r1.apk | 98.3 KiB | 2024-Oct-25 19:07 |
junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-Oct-25 19:07 |
kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-Oct-25 19:07 |
kabmat-2.7.0-r0.apk | 50.4 KiB | 2024-Oct-25 19:07 |
java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-Oct-25 19:07 |
jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-Oct-25 19:07 |
java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2024-Oct-25 19:07 |
java-gdcm-3.0.24-r0.apk | 579.2 KiB | 2024-Oct-25 19:07 |
jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-Oct-25 19:07 |
jami-qt-lang-20230925-r0.apk | 2.3 MiB | 2024-Oct-25 19:07 |
jrsonnet-cli-0.4.2-r1.apk | 501.6 KiB | 2024-Oct-25 19:07 |
jami-qt-20230925-r0.apk | 13.4 MiB | 2024-Oct-25 19:07 |
jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-Oct-25 19:07 |
jbigkit-2.1-r2.apk | 62.2 KiB | 2024-Oct-25 19:07 |
java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2024-Oct-25 19:07 |
json2tsv-1.2-r0.apk | 6.2 KiB | 2024-Oct-25 19:07 |
jalv-1.6.8-r1.apk | 43.1 KiB | 2024-Oct-25 19:07 |
jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2024-Oct-25 19:07 |
jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-Oct-25 19:07 |
jackline-0.1.0-r3.apk | 3.9 MiB | 2024-Oct-25 19:07 |
json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-Oct-25 19:07 |
jhead-3.08-r0.apk | 30.8 KiB | 2024-Oct-25 19:07 |
jalv-gtk-1.6.8-r1.apk | 29.9 KiB | 2024-Oct-25 19:07 |
jdupes-1.28.0-r0.apk | 26.9 KiB | 2024-Oct-25 19:07 |
json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-Oct-25 19:07 |
jbigkit-dev-2.1-r2.apk | 28.0 KiB | 2024-Oct-25 19:07 |
jdebp-redo-1.4-r1.apk | 82.4 KiB | 2024-Oct-25 19:07 |
jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-Oct-25 19:07 |
json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
jack_capture-0.9.73_git20210429-r2.apk | 29.5 KiB | 2024-Oct-25 19:07 |
jami-qt-doc-20230925-r0.apk | 2.7 KiB | 2024-Oct-25 19:07 |
ip2location-8.6.1-r0.apk | 20.8 KiB | 2024-Oct-25 19:07 |
igrep-doc-1.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:07 |
hyfetch-zsh-completion-1.99.0-r1.apk | 2.5 KiB | 2024-Oct-25 19:07 |
ip2location-dev-8.6.1-r0.apk | 11.3 KiB | 2024-Oct-25 19:07 |
i2util-dev-4.2.1-r1.apk | 42.7 KiB | 2024-Oct-25 19:07 |
httrack-doc-3.49.2-r5.apk | 528.0 KiB | 2024-Oct-25 19:07 |
icesprog-0_git20240108-r1.apk | 8.2 KiB | 2024-Oct-25 19:07 |
i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-Oct-25 19:07 |
irccd-4.0.3-r0.apk | 222.8 KiB | 2024-Oct-25 19:07 |
innernet-openrc-1.6.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
i2util-4.2.1-r1.apk | 20.4 KiB | 2024-Oct-25 19:07 |
interception-tools-0.6.8-r2.apk | 97.1 KiB | 2024-Oct-25 19:07 |
hwatch-doc-0.3.11-r0.apk | 3.0 KiB | 2024-Oct-25 19:07 |
hyfetch-bash-completion-1.99.0-r1.apk | 3.3 KiB | 2024-Oct-25 19:07 |
imediff-2.6-r1.apk | 41.6 KiB | 2024-Oct-25 19:07 |
hx-1.0.15-r0.apk | 13.2 KiB | 2024-Oct-25 19:07 |
ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-Oct-25 19:07 |
initify-0_git20171210-r1.apk | 3.3 KiB | 2024-Oct-25 19:07 |
imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-Oct-25 19:07 |
hunspell-ca-es-3.0.7-r0.apk | 731.3 KiB | 2024-Oct-25 19:07 |
irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-Oct-25 19:07 |
hwatch-zsh-completion-0.3.11-r0.apk | 1.9 KiB | 2024-Oct-25 19:07 |
igrep-1.2.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:07 |
icmake-doc-9.03.01-r0.apk | 127.4 KiB | 2024-Oct-25 19:07 |
hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-Oct-25 19:07 |
iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-Oct-25 19:07 |
imrsh-0_git20210320-r1.apk | 7.6 KiB | 2024-Oct-25 19:07 |
innernet-fish-completion-1.6.1-r0.apk | 4.5 KiB | 2024-Oct-25 19:07 |
hypnotix-3.5-r0.apk | 110.0 KiB | 2024-Oct-25 19:07 |
iprange-1.0.4-r1.apk | 20.2 KiB | 2024-Oct-25 19:07 |
innernet-zsh-completion-1.6.1-r0.apk | 5.4 KiB | 2024-Oct-25 19:07 |
innernet-doc-1.6.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:07 |
interception-tools-openrc-0.6.8-r2.apk | 1.7 KiB | 2024-Oct-25 19:07 |
hyx-2024.02.29-r0.apk | 15.8 KiB | 2024-Oct-25 19:07 |
irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-Oct-25 19:07 |
imrsh-dbg-0_git20210320-r1.apk | 19.6 KiB | 2024-Oct-25 19:07 |
innernet-1.6.1-r0.apk | 2.5 MiB | 2024-Oct-25 19:07 |
innernet-bash-completion-1.6.1-r0.apk | 3.8 KiB | 2024-Oct-25 19:07 |
icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-Oct-25 19:07 |
imapfilter-2.8.2-r0.apk | 35.2 KiB | 2024-Oct-25 19:07 |
icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-Oct-25 19:07 |
isoinfo-0_git20131217-r1.apk | 6.1 KiB | 2024-Oct-25 19:07 |
imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-Oct-25 19:07 |
hyfetch-doc-1.99.0-r1.apk | 16.5 KiB | 2024-Oct-25 19:07 |
hwatch-fish-completion-0.3.11-r0.apk | 1.8 KiB | 2024-Oct-25 19:07 |
it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-Oct-25 19:07 |
imediff-pyc-2.6-r1.apk | 43.7 KiB | 2024-Oct-25 19:07 |
hwatch-0.3.11-r0.apk | 893.9 KiB | 2024-Oct-25 19:07 |
hyfetch-pyc-1.99.0-r1.apk | 180.0 KiB | 2024-Oct-25 19:07 |
icmake-9.03.01-r0.apk | 94.3 KiB | 2024-Oct-25 19:07 |
idesk-1-r1.apk | 60.5 KiB | 2024-Oct-25 19:07 |
hyfetch-1.99.0-r1.apk | 432.9 KiB | 2024-Oct-25 19:07 |
hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-Oct-25 19:07 |
irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-Oct-25 19:07 |
horizon-dbg-0.9.6-r9.apk | 4.0 MiB | 2024-Oct-25 19:07 |
hikari-unlocker-2.3.3-r6.apk | 3.8 KiB | 2024-Oct-25 19:07 |
htslib-static-1.19-r0.apk | 418.9 KiB | 2024-Oct-25 19:07 |
htslib-doc-1.19-r0.apk | 22.6 KiB | 2024-Oct-25 19:07 |
horizon-image-0.9.6-r9.apk | 60.0 KiB | 2024-Oct-25 19:07 |
httrack-3.49.2-r5.apk | 716.6 KiB | 2024-Oct-25 19:07 |
horizon-tools-0.9.6-r9.apk | 67.2 KiB | 2024-Oct-25 19:07 |
hitide-openrc-0.15.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:07 |
htmlcxx-0.87-r1.apk | 54.3 KiB | 2024-Oct-25 19:07 |
hex-0.6.0-r0.apk | 257.9 KiB | 2024-Oct-25 19:07 |
httpie-oauth-1.0.2-r9.apk | 3.4 KiB | 2024-Oct-25 19:07 |
htslib-dev-1.19-r0.apk | 115.3 KiB | 2024-Oct-25 19:07 |
hiprompt-gtk-py-0.8.0-r0.apk | 8.3 KiB | 2024-Oct-25 19:07 |
hikari-doc-2.3.3-r6.apk | 13.6 KiB | 2024-Oct-25 19:07 |
hidrd-dev-0.2.0_git20190603-r1.apk | 117.6 KiB | 2024-Oct-25 19:07 |
hikari-2.3.3-r6.apk | 929.1 KiB | 2024-Oct-25 19:07 |
hstdb-2.1.0-r2.apk | 787.3 KiB | 2024-Oct-25 19:07 |
hexedit-1.6_git20230905-r0.apk | 16.8 KiB | 2024-Oct-25 19:07 |
horizon-doc-0.9.6-r9.apk | 21.5 KiB | 2024-Oct-25 19:07 |
herbe-1.0.0-r0.apk | 5.6 KiB | 2024-Oct-25 19:07 |
horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-Oct-25 19:07 |
horust-0.1.7-r2.apk | 934.4 KiB | 2024-Oct-25 19:07 |
htslib-1.19-r0.apk | 330.8 KiB | 2024-Oct-25 19:07 |
hopalong-0.1-r3.apk | 18.7 KiB | 2024-Oct-25 19:07 |
hping3-doc-20051105-r4.apk | 16.7 KiB | 2024-Oct-25 19:07 |
httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-Oct-25 19:07 |
hidrd-0.2.0_git20190603-r1.apk | 62.9 KiB | 2024-Oct-25 19:07 |
hping3-20051105-r4.apk | 62.8 KiB | 2024-Oct-25 19:07 |
htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-Oct-25 19:07 |
hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-Oct-25 19:07 |
horust-doc-0.1.7-r2.apk | 9.2 KiB | 2024-Oct-25 19:07 |
horizon-0.9.6-r9.apk | 186.8 KiB | 2024-Oct-25 19:07 |
htslib-tools-1.19-r0.apk | 1.1 MiB | 2024-Oct-25 19:07 |
hsetroot-1.0.5-r1.apk | 10.8 KiB | 2024-Oct-25 19:07 |
hitide-0.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:07 |
hdf4-4.2.15-r2.apk | 240.8 KiB | 2024-Oct-25 19:07 |
hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-Oct-25 19:07 |
hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-Oct-25 19:07 |
hdf4-tools-4.2.15-r2.apk | 173.3 KiB | 2024-Oct-25 19:07 |
hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-Oct-25 19:07 |
hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-Oct-25 19:07 |
hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-Oct-25 19:07 |
hashcat-6.2.6-r0.apk | 51.8 MiB | 2024-Oct-25 19:07 |
hdf4-dev-4.2.15-r2.apk | 100.8 KiB | 2024-Oct-25 19:07 |
heh-0.6.1-r0.apk | 415.2 KiB | 2024-Oct-25 19:07 |
hatop-0.8.2-r0.apk | 18.0 KiB | 2024-Oct-25 19:07 |
heh-doc-0.6.1-r0.apk | 4.1 KiB | 2024-Oct-25 19:07 |
h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-Oct-25 19:07 |
hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-Oct-25 19:07 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 358.1 KiB | 2024-Oct-25 19:07 |
habitctl-0.1.0-r2.apk | 285.2 KiB | 2024-Oct-25 19:07 |
harminv-1.4.2-r1.apk | 7.1 KiB | 2024-Oct-25 19:07 |
harminv-doc-1.4.2-r1.apk | 5.6 KiB | 2024-Oct-25 19:07 |
halp-0.2.0-r0.apk | 829.7 KiB | 2024-Oct-25 19:07 |
harminv-libs-1.4.2-r1.apk | 10.9 KiB | 2024-Oct-25 19:07 |
halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-Oct-25 19:07 |
hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-Oct-25 19:07 |
halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-Oct-25 19:07 |
halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:07 |
harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-Oct-25 19:07 |
h4h5tools-2.2.5-r4.apk | 112.4 KiB | 2024-Oct-25 19:07 |
h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-Oct-25 19:07 |
halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-Oct-25 19:07 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-Oct-25 19:07 |
h4h5tools-static-2.2.5-r4.apk | 107.6 KiB | 2024-Oct-25 19:07 |
guake-3.10-r1.apk | 305.3 KiB | 2024-Oct-25 19:07 |
gtkwave-3.3.120-r0.apk | 2.4 MiB | 2024-Oct-25 19:07 |
gsimplecal-2.5.1-r0.apk | 15.5 KiB | 2024-Oct-25 19:07 |
guake-lang-3.10-r1.apk | 187.8 KiB | 2024-Oct-25 19:07 |
gsimplecal-doc-2.5.1-r0.apk | 5.8 KiB | 2024-Oct-25 19:07 |
gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-Oct-25 19:07 |
gtkhash-1.5-r0.apk | 83.6 KiB | 2024-Oct-25 19:07 |
gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-Oct-25 19:07 |
guake-pyc-3.10-r1.apk | 185.9 KiB | 2024-Oct-25 19:07 |
guestfs-tools-1.52.0-r1.apk | 275.2 KiB | 2024-Oct-25 19:07 |
gpa-0.10.0-r2.apk | 199.1 KiB | 2024-Oct-25 19:07 |
granite7-7.5.0-r0.apk | 110.2 KiB | 2024-Oct-25 19:07 |
grip-doc-4.2.4-r0.apk | 6.2 KiB | 2024-Oct-25 19:07 |
granite7-lang-7.5.0-r0.apk | 52.0 KiB | 2024-Oct-25 19:07 |
gpg-remailer-doc-3.04.07-r1.apk | 9.5 KiB | 2024-Oct-25 19:07 |
gpa-doc-0.10.0-r2.apk | 2.9 KiB | 2024-Oct-25 19:07 |
granite7-dev-7.5.0-r0.apk | 39.0 KiB | 2024-Oct-25 19:07 |
grip-lang-4.2.4-r0.apk | 144.4 KiB | 2024-Oct-25 19:07 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-Oct-25 19:07 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 17.6 KiB | 2024-Oct-25 19:07 |
grip-4.2.4-r0.apk | 372.1 KiB | 2024-Oct-25 19:07 |
greetd-mini-wl-greeter-bash-completion-0_git202..> | 2.2 KiB | 2024-Oct-25 19:07 |
gprbuild-22.0.0-r3.apk | 12.3 MiB | 2024-Oct-25 19:07 |
gpsbabel-lang-1.9.0-r0.apk | 88.2 KiB | 2024-Oct-25 19:07 |
goomwwm-1.0.0-r5.apk | 41.8 KiB | 2024-Oct-25 19:07 |
gpg-remailer-3.04.07-r1.apk | 46.4 KiB | 2024-Oct-25 19:07 |
gpsbabel-1.9.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
goxel-0.15.1-r0.apk | 1.6 MiB | 2024-Oct-25 19:07 |
gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-Oct-25 19:07 |
gnu-apl-1.9-r0.apk | 1.2 MiB | 2024-Oct-25 19:07 |
gobang-0.1.0_alpha5-r1.apk | 1.5 MiB | 2024-Oct-25 19:07 |
gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-Oct-25 19:07 |
gnu-apl-dev-1.9-r0.apk | 580.2 KiB | 2024-Oct-25 19:07 |
gnome-metronome-1.3.0-r0.apk | 410.9 KiB | 2024-Oct-25 19:07 |
gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-Oct-25 19:07 |
geonames-0.3.1-r2.apk | 826.3 KiB | 2024-Oct-25 19:07 |
gdcm-doc-pdf-3.0.24-r0.apk | 13.6 MiB | 2024-Oct-25 19:07 |
geomyidae-doc-0.34-r2.apk | 7.7 KiB | 2024-Oct-25 19:07 |
glfw-wayland-dev-3.3.8-r3.apk | 45.6 KiB | 2024-Oct-25 19:07 |
ginger-pyc-2.4.0-r7.apk | 206.8 KiB | 2024-Oct-25 19:07 |
ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-Oct-25 19:07 |
git-quick-stats-2.5.8-r0.apk | 12.4 KiB | 2024-Oct-25 19:07 |
gingerbase-pyc-2.3.0-r7.apk | 61.5 KiB | 2024-Oct-25 19:07 |
glfw-wayland-dbg-3.3.8-r3.apk | 201.0 KiB | 2024-Oct-25 19:07 |
git-quick-stats-doc-2.5.8-r0.apk | 2.9 KiB | 2024-Oct-25 19:07 |
git2json-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 19:07 |
geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-Oct-25 19:07 |
geomyidae-0.34-r2.apk | 12.5 KiB | 2024-Oct-25 19:07 |
ginger-2.4.0-r7.apk | 257.1 KiB | 2024-Oct-25 19:07 |
git-secret-0.5.0-r0.apk | 14.8 KiB | 2024-Oct-25 19:07 |
gloox-1.0.28-r0.apk | 332.4 KiB | 2024-Oct-25 19:07 |
geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-Oct-25 19:07 |
geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-Oct-25 19:07 |
gede-2.18.2-r1.apk | 241.4 KiB | 2024-Oct-25 19:07 |
gf2x-dev-1.3.0-r1.apk | 55.1 KiB | 2024-Oct-25 19:07 |
geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-Oct-25 19:07 |
gloox-dev-1.0.28-r0.apk | 895.7 KiB | 2024-Oct-25 19:07 |
glfw-wayland-3.3.8-r3.apk | 57.0 KiB | 2024-Oct-25 19:07 |
git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-Oct-25 19:07 |
git-secret-doc-0.5.0-r0.apk | 17.1 KiB | 2024-Oct-25 19:07 |
gf2x-1.3.0-r1.apk | 33.9 KiB | 2024-Oct-25 19:07 |
gingerbase-lang-2.3.0-r7.apk | 52.9 KiB | 2024-Oct-25 19:07 |
git-revise-pyc-0.7.0-r5.apk | 42.2 KiB | 2024-Oct-25 19:07 |
getssl-2.48-r0.apk | 82.2 KiB | 2024-Oct-25 19:07 |
git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-Oct-25 19:07 |
gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-Oct-25 19:07 |
glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-Oct-25 19:07 |
ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-Oct-25 19:07 |
glmark2-2023.01-r1.apk | 7.9 MiB | 2024-Oct-25 19:07 |
git2json-0.2.3-r8.apk | 7.4 KiB | 2024-Oct-25 19:07 |
genact-1.4.2-r0.apk | 1.3 MiB | 2024-Oct-25 19:07 |
fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2024-Oct-25 19:07 |
freshrss-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:07 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-Oct-25 19:07 |
gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-Oct-25 19:07 |
freealut-dev-1.1.0-r1.apk | 21.1 KiB | 2024-Oct-25 19:07 |
freshrss-mysql-1.23.1-r1.apk | 1.4 KiB | 2024-Oct-25 19:07 |
freediameter-1.5.0-r1.apk | 9.2 KiB | 2024-Oct-25 19:07 |
gdcm-doc-3.0.24-r0.apk | 55.4 KiB | 2024-Oct-25 19:07 |
gdcm-doc-html-3.0.24-r0.apk | 8.7 MiB | 2024-Oct-25 19:07 |
gaupol-1.12-r2.apk | 276.2 KiB | 2024-Oct-25 19:07 |
gdcm-3.0.24-r0.apk | 354.2 KiB | 2024-Oct-25 19:07 |
freediameter-libfdproto-1.5.0-r1.apk | 93.3 KiB | 2024-Oct-25 19:07 |
gatling-0.16-r6.apk | 135.5 KiB | 2024-Oct-25 19:07 |
gammastep-2.0.9-r3.apk | 87.2 KiB | 2024-Oct-25 19:07 |
freshrss-sqlite-1.23.1-r1.apk | 1.4 KiB | 2024-Oct-25 19:07 |
freshrss-openrc-1.23.1-r1.apk | 2.5 KiB | 2024-Oct-25 19:07 |
fpp-0.9.5-r0.apk | 29.3 KiB | 2024-Oct-25 19:07 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-Oct-25 19:07 |
fulcrum-1.9.8-r1.apk | 791.8 KiB | 2024-Oct-25 19:07 |
freediameter-libfdcore-1.5.0-r1.apk | 179.6 KiB | 2024-Oct-25 19:07 |
freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-Oct-25 19:07 |
gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-Oct-25 19:07 |
gdcm-dev-3.0.24-r0.apk | 462.8 KiB | 2024-Oct-25 19:07 |
freshrss-lang-1.23.1-r1.apk | 379.2 KiB | 2024-Oct-25 19:07 |
fusesoc-2.3-r0.apk | 46.3 KiB | 2024-Oct-25 19:07 |
gaupol-pyc-1.12-r2.apk | 419.2 KiB | 2024-Oct-25 19:07 |
freshrss-themes-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:07 |
fusee-nano-0.5.3-r1.apk | 20.3 KiB | 2024-Oct-25 19:07 |
gaupol-doc-1.12-r2.apk | 2.4 KiB | 2024-Oct-25 19:07 |
gammastep-doc-2.0.9-r3.apk | 14.1 KiB | 2024-Oct-25 19:07 |
gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-Oct-25 19:07 |
frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-Oct-25 19:07 |
gamja-doc-1.0.0_beta9-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
gamja-1.0.0_beta9-r0.apk | 599.2 KiB | 2024-Oct-25 19:07 |
gammastep-pyc-2.0.9-r3.apk | 16.9 KiB | 2024-Oct-25 19:07 |
gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-Oct-25 19:07 |
frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-Oct-25 19:07 |
frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-Oct-25 19:07 |
fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-Oct-25 19:07 |
fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-Oct-25 19:07 |
freediameter-extensions-1.5.0-r1.apk | 426.8 KiB | 2024-Oct-25 19:07 |
fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-Oct-25 19:07 |
freshrss-doc-1.23.1-r1.apk | 751.2 KiB | 2024-Oct-25 19:07 |
fusesoc-pyc-2.3-r0.apk | 89.3 KiB | 2024-Oct-25 19:07 |
freshrss-pgsql-1.23.1-r1.apk | 1.4 KiB | 2024-Oct-25 19:07 |
freealut-1.1.0-r1.apk | 16.0 KiB | 2024-Oct-25 19:07 |
fpc-stage0-3.2.2-r3.apk | 6.2 MiB | 2024-Oct-25 19:07 |
fpc-3.2.2-r4.apk | 68.5 MiB | 2024-Oct-25 19:07 |
fpc-doc-3.2.2-r4.apk | 1.2 MiB | 2024-Oct-25 19:07 |
font-hanazono-20170904-r1.apk | 28.9 MiB | 2024-Oct-25 19:07 |
font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-Oct-25 19:07 |
font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-Oct-25 19:07 |
font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-Oct-25 19:07 |
font-tiresias-doc-0_git20200704-r0.apk | 58.1 KiB | 2024-Oct-25 19:07 |
font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-Oct-25 19:07 |
font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2024-Oct-25 19:07 |
font-material-icons-4.0.0-r0.apk | 651.9 KiB | 2024-Oct-25 19:07 |
font-katex-0.16.2-r0.apk | 851.6 KiB | 2024-Oct-25 19:07 |
font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 19:07 |
foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2024-Oct-25 19:07 |
font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 19:07 |
font-monocraft-4.0-r0.apk | 676.8 KiB | 2024-Oct-25 19:07 |
font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-Oct-25 19:07 |
font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-Oct-25 19:07 |
font-monaspace-1.101-r0.apk | 1.5 KiB | 2024-Oct-25 19:07 |
foolsm-1.0.21-r0.apk | 31.0 KiB | 2024-Oct-25 19:07 |
foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2024-Oct-25 19:07 |
font-tiresias-0_git20200704-r0.apk | 568.3 KiB | 2024-Oct-25 19:07 |
font-intel-one-mono-1.3.0-r0.apk | 281.4 KiB | 2024-Oct-25 19:07 |
font-stix-ttf-2.13-r0.apk | 430.0 KiB | 2024-Oct-25 19:07 |
flowd-dev-0.9.1-r10.apk | 8.3 KiB | 2024-Oct-25 19:07 |
ffms2-dev-5.0-r0.apk | 7.6 KiB | 2024-Oct-25 19:07 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2024-Oct-25 19:07 |
flare-game-1.14-r0.apk | 2.2 KiB | 2024-Oct-25 19:07 |
featherpad-lang-1.5.1-r0.apk | 462.8 KiB | 2024-Oct-25 19:07 |
fildesh-0.2.0-r0.apk | 58.2 KiB | 2024-Oct-25 19:07 |
ffsend-zsh-completion-0.2.76-r4.apk | 4.5 KiB | 2024-Oct-25 19:07 |
ffms2-5.0-r0.apk | 65.4 KiB | 2024-Oct-25 19:07 |
fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-Oct-25 19:07 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 19:07 |
firehol-3.1.7-r2.apk | 84.8 KiB | 2024-Oct-25 19:07 |
flauschige-uhr-0.1-r1.apk | 4.1 KiB | 2024-Oct-25 19:07 |
fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-Oct-25 19:07 |
finger-0.5-r0.apk | 6.1 KiB | 2024-Oct-25 19:07 |
ffms2-doc-5.0-r0.apk | 30.3 KiB | 2024-Oct-25 19:07 |
font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-Oct-25 19:07 |
font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2024-Oct-25 19:07 |
font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-Oct-25 19:07 |
flare-engine-1.14-r0.apk | 4.4 MiB | 2024-Oct-25 19:07 |
font-comic-neue-2.51-r0.apk | 248.8 KiB | 2024-Oct-25 19:07 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 19:07 |
flintqs-1.0-r1.apk | 20.2 KiB | 2024-Oct-25 19:07 |
flowd-0.9.1-r10.apk | 74.7 KiB | 2024-Oct-25 19:07 |
ffsend-0.2.76-r4.apk | 1.4 MiB | 2024-Oct-25 19:07 |
fceux-2.6.6-r2.apk | 2.8 MiB | 2024-Oct-25 19:07 |
flare-engine-doc-1.14-r0.apk | 2.5 KiB | 2024-Oct-25 19:07 |
flowd-openrc-0.9.1-r10.apk | 1.9 KiB | 2024-Oct-25 19:07 |
font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2024-Oct-25 19:07 |
font-chivo-0_git20221110-r0.apk | 792.4 KiB | 2024-Oct-25 19:07 |
flowd-doc-0.9.1-r10.apk | 10.1 KiB | 2024-Oct-25 19:07 |
featherpad-1.5.1-r0.apk | 642.9 KiB | 2024-Oct-25 19:07 |
fflas-ffpack-2.5.0-r3.apk | 345.3 KiB | 2024-Oct-25 19:07 |
foma-0.10.0_git20240712-r0.apk | 284.4 KiB | 2024-Oct-25 19:07 |
fceux-doc-2.6.6-r2.apk | 105.8 KiB | 2024-Oct-25 19:07 |
font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-Oct-25 19:07 |
font-chivo-mono-0_git20221110-r0.apk | 625.9 KiB | 2024-Oct-25 19:07 |
fff-2.2-r0.apk | 10.7 KiB | 2024-Oct-25 19:07 |
firehol-openrc-3.1.7-r2.apk | 2.1 KiB | 2024-Oct-25 19:07 |
flatpak-xdg-utils-1.0.6-r0.apk | 17.9 KiB | 2024-Oct-25 19:07 |
font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2024-Oct-25 19:07 |
font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-Oct-25 19:07 |
font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-Oct-25 19:07 |
firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-Oct-25 19:07 |
ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-25 19:07 |
font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-Oct-25 19:07 |
font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 19:07 |
fnf-0.1-r0.apk | 13.8 KiB | 2024-Oct-25 19:07 |
findtow-0.1-r0.apk | 4.3 KiB | 2024-Oct-25 19:07 |
fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-25 19:07 |
font-fantasque-sans-largelineheightnoloopk-1.8...> | 315.8 KiB | 2024-Oct-25 19:07 |
finger-doc-0.5-r0.apk | 3.8 KiB | 2024-Oct-25 19:07 |
fff-doc-2.2-r0.apk | 9.0 KiB | 2024-Oct-25 19:07 |
font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2024-Oct-25 19:07 |
ffsend-fish-completion-0.2.76-r4.apk | 3.5 KiB | 2024-Oct-25 19:07 |
foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-Oct-25 19:07 |
filite-0.3.0-r2.apk | 1.0 MiB | 2024-Oct-25 19:07 |
fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:07 |
f_scripts-f_networks-0.6-r1.apk | 3.0 KiB | 2024-Oct-25 19:07 |
f_scripts-f_theme-0.6-r1.apk | 2.6 KiB | 2024-Oct-25 19:07 |
extundelete-0.2.4-r1.apk | 38.9 KiB | 2024-Oct-25 19:07 |
f_scripts-f_web-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 19:07 |
fatresize-1.1.0-r1.apk | 8.5 KiB | 2024-Oct-25 19:07 |
fabric-3.2.2-r1.apk | 54.6 KiB | 2024-Oct-25 19:07 |
f_scripts-f_game-0.6-r1.apk | 1.9 KiB | 2024-Oct-25 19:07 |
f_scripts-f_audio-0.6-r1.apk | 3.3 KiB | 2024-Oct-25 19:07 |
f_scripts-f_timer-0.6-r1.apk | 2.4 KiB | 2024-Oct-25 19:07 |
f_scripts-f_maps-0.6-r1.apk | 2.1 KiB | 2024-Oct-25 19:07 |
faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
fatback-1.3-r2.apk | 24.3 KiB | 2024-Oct-25 19:07 |
fatrace-0.17.0-r0.apk | 10.0 KiB | 2024-Oct-25 19:07 |
fbcur-1.0.1-r1.apk | 4.2 KiB | 2024-Oct-25 19:07 |
faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-Oct-25 19:07 |
fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-Oct-25 19:07 |
fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-Oct-25 19:07 |
fabric-pyc-3.2.2-r1.apk | 60.1 KiB | 2024-Oct-25 19:07 |
fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-Oct-25 19:07 |
f_scripts-f_phone-0.6-r1.apk | 6.0 KiB | 2024-Oct-25 19:07 |
f_scripts-f_files-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 19:07 |
extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-Oct-25 19:07 |
fatrace-doc-0.17.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:07 |
fava-1.28-r0.apk | 1.1 MiB | 2024-Oct-25 19:07 |
faultstat-0.01.11-r0.apk | 12.1 KiB | 2024-Oct-25 19:07 |
f_scripts-0.6-r1.apk | 1.4 KiB | 2024-Oct-25 19:07 |
fakeroot-tcp-1.32.1-r1.apk | 25.4 KiB | 2024-Oct-25 19:07 |
fava-pyc-1.28-r0.apk | 164.1 KiB | 2024-Oct-25 19:07 |
f_scripts-f_rss-0.6-r1.apk | 2.5 KiB | 2024-Oct-25 19:07 |
f_scripts-f_youtube-0.6-r1.apk | 2.8 KiB | 2024-Oct-25 19:07 |
extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-Oct-25 19:07 |
ettercap-0.8.3.1-r3.apk | 551.5 KiB | 2024-Oct-25 19:07 |
espeakup-0.90-r2.apk | 10.1 KiB | 2024-Oct-25 19:07 |
eva-0.3.1-r2.apk | 554.7 KiB | 2024-Oct-25 19:07 |
extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-Oct-25 19:07 |
extrace-0.9-r0.apk | 9.7 KiB | 2024-Oct-25 19:07 |
enjoy-0.3-r1.apk | 10.0 KiB | 2024-Oct-25 19:07 |
envsubst-0.1-r1.apk | 4.0 KiB | 2024-Oct-25 19:07 |
espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-Oct-25 19:07 |
esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-Oct-25 19:07 |
enlighten-doc-0.9.2-r1.apk | 3.5 KiB | 2024-Oct-25 19:07 |
epr-2.4.15-r1.apk | 15.7 KiB | 2024-Oct-25 19:07 |
esptool-4.8.1-r0.apk | 424.0 KiB | 2024-Oct-25 19:07 |
epr-pyc-2.4.15-r1.apk | 24.4 KiB | 2024-Oct-25 19:07 |
endless-sky-0.10.2-r0.apk | 242.1 MiB | 2024-Oct-25 19:07 |
endless-sky-doc-0.10.2-r0.apk | 36.5 KiB | 2024-Oct-25 19:07 |
ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-Oct-25 19:07 |
epoch-1.3.0-r2.apk | 58.6 KiB | 2024-Oct-25 19:07 |
enlighten-0.9.2-r1.apk | 7.0 KiB | 2024-Oct-25 19:07 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.9 KiB | 2024-Oct-25 19:07 |
emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-Oct-25 19:07 |
emacs-sqlite3-api-0.18-r0.apk | 17.1 KiB | 2024-Oct-25 19:07 |
elfio-dev-3.12-r0.apk | 55.0 KiB | 2024-Oct-25 19:07 |
elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-Oct-25 19:07 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 22.8 KiB | 2024-Oct-25 19:07 |
emacs-gnosis-0.3.2-r0.apk | 62.1 KiB | 2024-Oct-25 19:07 |
emacs-powerline-2.4_git20221110-r0.apk | 28.9 KiB | 2024-Oct-25 19:07 |
empede-doc-0.2.3-r0.apk | 2.3 KiB | 2024-Oct-25 19:07 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> | 4.3 KiB | 2024-Oct-25 19:07 |
emacs-hydra-0.15.0_git20220910-r0.apk | 45.9 KiB | 2024-Oct-25 19:07 |
eludris-doc-0.3.3-r1.apk | 2.3 KiB | 2024-Oct-25 19:07 |
elf_diff-pyc-0.7.1-r3.apk | 108.0 KiB | 2024-Oct-25 19:07 |
emulationstation-2.11.2-r1.apk | 1.1 MiB | 2024-Oct-25 19:07 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.0 KiB | 2024-Oct-25 19:07 |
emacs-svg-lib-0_git20240219-r0.apk | 19.0 KiB | 2024-Oct-25 19:07 |
emacs-hnreader-0_git20221116-r0.apk | 9.8 KiB | 2024-Oct-25 19:07 |
emacs-derl-0_git20231004-r0.apk | 23.5 KiB | 2024-Oct-25 19:07 |
emacs-hackernews-0.7.0-r0.apk | 15.5 KiB | 2024-Oct-25 19:07 |
emacs-llama-0.3.1_git20240722-r0.apk | 9.9 KiB | 2024-Oct-25 19:07 |
emacs-consult-1.4_git20240405-r0.apk | 137.8 KiB | 2024-Oct-25 19:07 |
eludris-0.3.3-r1.apk | 1.5 MiB | 2024-Oct-25 19:07 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-Oct-25 19:07 |
emacs-closql-1.2.1_git20240712-r0.apk | 14.4 KiB | 2024-Oct-25 19:07 |
elfio-3.12-r0.apk | 1.4 KiB | 2024-Oct-25 19:07 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6.1 KiB | 2024-Oct-25 19:07 |
empede-0.2.3-r0.apk | 1.5 MiB | 2024-Oct-25 19:07 |
emacs-epkg-3.3.3_git20240713-r0.apk | 36.8 KiB | 2024-Oct-25 19:07 |
emacs-fossil-0_git20230504-r0.apk | 14.6 KiB | 2024-Oct-25 19:07 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 22.6 KiB | 2024-Oct-25 19:07 |
emacs-helm-3.9.7_git20240329-r0.apk | 815.1 KiB | 2024-Oct-25 19:07 |
empede-openrc-0.2.3-r0.apk | 1.9 KiB | 2024-Oct-25 19:07 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 55.0 KiB | 2024-Oct-25 19:07 |
emacs-avy-0.5.0_git20230420-r0.apk | 43.4 KiB | 2024-Oct-25 19:07 |
ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2024-Oct-25 19:07 |
dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2024-Oct-25 19:07 |
ecos-2.0.10-r0.apk | 35.1 KiB | 2024-Oct-25 19:07 |
dune-deps-1.3.0-r2.apk | 786.6 KiB | 2024-Oct-25 19:07 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-Oct-25 19:07 |
drumgizmo-0.9.20-r1.apk | 358.5 KiB | 2024-Oct-25 19:07 |
duc-1.4.5-r0.apk | 83.2 KiB | 2024-Oct-25 19:07 |
dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-Oct-25 19:07 |
dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-Oct-25 19:07 |
eboard-1.1.3-r1.apk | 1.4 MiB | 2024-Oct-25 19:07 |
dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-Oct-25 19:07 |
dulcepan-1.0.2-r0.apk | 18.6 KiB | 2024-Oct-25 19:07 |
dublin-traceroute-0.4.2-r4.apk | 41.9 KiB | 2024-Oct-25 19:07 |
edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-Oct-25 19:07 |
dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-Oct-25 19:07 |
dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-Oct-25 19:07 |
edward-1.1.0-r0.apk | 1.7 MiB | 2024-Oct-25 19:07 |
eatmemory-0.1.6-r2.apk | 4.1 KiB | 2024-Oct-25 19:07 |
dvdbackup-0.4.2-r1.apk | 15.8 KiB | 2024-Oct-25 19:07 |
eboard-doc-1.1.3-r1.apk | 4.6 KiB | 2024-Oct-25 19:07 |
duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-Oct-25 19:07 |
dwl-0.7-r0.apk | 24.4 KiB | 2024-Oct-25 19:07 |
dotnet6-stage0-bootstrap-6.0.116-r4.apk | 351.6 MiB | 2024-Oct-25 19:07 |
dotnet6-stage0-artifacts-6.0.116-r4.apk | 977.9 MiB | 2024-Oct-25 19:07 |
dotnet6-stage0-6.0.116-r4.apk | 1.2 KiB | 2024-Oct-25 19:06 |
dnssec-tools-dev-2.2.3-r12.apk | 167.7 KiB | 2024-Oct-25 19:06 |
dnssec-tools-doc-2.2.3-r12.apk | 315.4 KiB | 2024-Oct-25 19:06 |
dotenv-linter-3.3.0-r1.apk | 738.9 KiB | 2024-Oct-25 19:06 |
dnsperf-2.14.0-r0.apk | 72.3 KiB | 2024-Oct-25 19:06 |
dlib-19.24.4-r0.apk | 705.8 KiB | 2024-Oct-25 19:06 |
dnssec-tools-2.2.3-r12.apk | 732.9 KiB | 2024-Oct-25 19:06 |
doasedit-1.0.7-r0.apk | 3.6 KiB | 2024-Oct-25 19:06 |
dnscrypt-wrapper-0.4.2-r3.apk | 26.5 KiB | 2024-Oct-25 19:06 |
dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-Oct-25 19:06 |
dnsenum-1.3.2-r0.apk | 21.2 KiB | 2024-Oct-25 19:06 |
dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-Oct-25 19:06 |
dnsenum-doc-1.3.2-r0.apk | 5.2 KiB | 2024-Oct-25 19:06 |
dislocker-0.7.3-r5.apk | 12.2 KiB | 2024-Oct-25 19:06 |
diskonaut-0.11.0-r3.apk | 389.3 KiB | 2024-Oct-25 19:06 |
disfetch-3.7-r0.apk | 8.3 KiB | 2024-Oct-25 19:06 |
dislocker-libs-0.7.3-r5.apk | 40.0 KiB | 2024-Oct-25 19:06 |
dislocker-doc-0.7.3-r5.apk | 6.1 KiB | 2024-Oct-25 19:06 |
dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-Oct-25 19:06 |
dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-Oct-25 19:06 |
devil-1.8.0-r0.apk | 206.3 KiB | 2024-Oct-25 19:06 |
dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-Oct-25 19:06 |
desed-1.2.1-r1.apk | 341.8 KiB | 2024-Oct-25 19:06 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:06 |
devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-Oct-25 19:06 |
detox-2.0.0-r0.apk | 108.5 KiB | 2024-Oct-25 19:06 |
ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-Oct-25 19:06 |
ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-Oct-25 19:06 |
debconf-doc-1.5.82-r0.apk | 26.8 KiB | 2024-Oct-25 19:06 |
dfl-ipc-0.2.0-r0.apk | 17.9 KiB | 2024-Oct-25 19:06 |
debconf-1.5.82-r0.apk | 69.4 KiB | 2024-Oct-25 19:06 |
dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-Oct-25 19:06 |
debconf-utils-1.5.82-r0.apk | 6.7 KiB | 2024-Oct-25 19:06 |
dfl-applications-0.2.0-r0.apk | 19.3 KiB | 2024-Oct-25 19:06 |
dbus-broker-doc-36-r0.apk | 5.8 KiB | 2024-Oct-25 19:06 |
detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-Oct-25 19:06 |
dfu-programmer-doc-1.1.0-r0.apk | 5.7 KiB | 2024-Oct-25 19:06 |
dfl-login1-0.2.0-r0.apk | 15.2 KiB | 2024-Oct-25 19:06 |
debconf-bash-completion-1.5.82-r0.apk | 1.9 KiB | 2024-Oct-25 19:06 |
debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-Oct-25 19:06 |
dfu-programmer-1.1.0-r0.apk | 33.5 KiB | 2024-Oct-25 19:06 |
dfl-ipc-dev-0.2.0-r0.apk | 3.6 KiB | 2024-Oct-25 19:06 |
desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-Oct-25 19:06 |
dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:06 |
ddgr-2.2-r0.apk | 20.1 KiB | 2024-Oct-25 19:06 |
dfl-sni-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:06 |
dfl-login1-dev-0.2.0-r0.apk | 3.4 KiB | 2024-Oct-25 19:06 |
dbus-broker-36-r0.apk | 75.6 KiB | 2024-Oct-25 19:06 |
deadbeef-soxr-20180801-r0.apk | 5.2 KiB | 2024-Oct-25 19:06 |
dcnnt-pyc-0.10.0-r1.apk | 61.7 KiB | 2024-Oct-25 19:06 |
dfl-applications-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:06 |
ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-Oct-25 19:06 |
dasht-2.4.0-r0.apk | 14.2 KiB | 2024-Oct-25 19:06 |
dewduct-0.2.3-r0.apk | 1.0 MiB | 2024-Oct-25 19:06 |
ddserver-0_git20200930-r1.apk | 10.5 KiB | 2024-Oct-25 19:06 |
dfl-sni-0.2.0-r0.apk | 28.1 KiB | 2024-Oct-25 19:06 |
ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-Oct-25 19:06 |
daktilo-fish-completion-0.6.0-r0.apk | 1.9 KiB | 2024-Oct-25 19:06 |
cscope-15.9-r1.apk | 147.8 KiB | 2024-Oct-25 19:06 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-Oct-25 19:06 |
curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-Oct-25 19:06 |
cyrus-sasl-xoauth2-0.2-r1.apk | 5.9 KiB | 2024-Oct-25 19:06 |
csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-Oct-25 19:06 |
cutechess-doc-1.3.1-r0.apk | 3.5 KiB | 2024-Oct-25 19:06 |
cvs-fast-export-tools-1.65-r0.apk | 8.6 KiB | 2024-Oct-25 19:06 |
cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-Oct-25 19:06 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 6.2 KiB | 2024-Oct-25 19:06 |
csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-Oct-25 19:06 |
csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-Oct-25 19:06 |
cutechess-cli-1.3.1-r0.apk | 304.8 KiB | 2024-Oct-25 19:06 |
daktilo-zsh-completion-0.6.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:06 |
curtail-lang-1.11.1-r0.apk | 65.9 KiB | 2024-Oct-25 19:06 |
ctorrent-dnh-3.3.2-r2.apk | 80.9 KiB | 2024-Oct-25 19:06 |
cutechess-1.3.1-r0.apk | 1.0 MiB | 2024-Oct-25 19:06 |
curtail-1.11.1-r0.apk | 26.7 KiB | 2024-Oct-25 19:06 |
daktilo-doc-0.6.0-r0.apk | 8.7 KiB | 2024-Oct-25 19:06 |
cups-pdf-3.0.1-r2.apk | 20.9 KiB | 2024-Oct-25 19:06 |
cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2024-Oct-25 19:06 |
csol-1.6.0-r0.apk | 33.4 KiB | 2024-Oct-25 19:06 |
csfml-2.5.2-r0.apk | 86.2 KiB | 2024-Oct-25 19:06 |
cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-Oct-25 19:06 |
csmith-2.3.0-r2.apk | 273.2 KiB | 2024-Oct-25 19:06 |
daktilo-0.6.0-r0.apk | 1.7 MiB | 2024-Oct-25 19:06 |
csfml-doc-2.5.2-r0.apk | 204.0 KiB | 2024-Oct-25 19:06 |
cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-Oct-25 19:06 |
daktilo-bash-completion-0.6.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:06 |
cvs-fast-export-1.65-r0.apk | 42.9 KiB | 2024-Oct-25 19:06 |
daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-Oct-25 19:06 |
curlftpfs-0.9.2-r3.apk | 23.4 KiB | 2024-Oct-25 19:06 |
daemontools-0.76-r3.apk | 47.8 KiB | 2024-Oct-25 19:06 |
console_bridge-1.0.2-r0.apk | 9.0 KiB | 2024-Oct-25 19:06 |
cpufetch-doc-1.06-r0.apk | 3.1 KiB | 2024-Oct-25 19:06 |
crazydiskinfo-1.1.0-r1.apk | 29.2 KiB | 2024-Oct-25 19:06 |
cpiped-0.1.0-r0.apk | 6.5 KiB | 2024-Oct-25 19:06 |
cpuburn-1.4a_git20160316-r2.apk | 10.5 KiB | 2024-Oct-25 19:06 |
createrepo_c-doc-1.1.4-r0.apk | 8.7 KiB | 2024-Oct-25 19:06 |
crispy-doom-doc-7.0-r0.apk | 106.8 KiB | 2024-Oct-25 19:06 |
coxeter-dev-3.0-r1.apk | 56.7 KiB | 2024-Oct-25 19:06 |
crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-Oct-25 19:06 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2.9 KiB | 2024-Oct-25 19:06 |
coxeter-3.0-r1.apk | 48.5 KiB | 2024-Oct-25 19:06 |
crispy-doom-7.0-r0.apk | 1.6 MiB | 2024-Oct-25 19:06 |
createrepo_c-1.1.4-r0.apk | 43.8 KiB | 2024-Oct-25 19:06 |
createrepo_c-libs-1.1.4-r0.apk | 82.5 KiB | 2024-Oct-25 19:06 |
crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-Oct-25 19:06 |
createrepo_c-dev-1.1.4-r0.apk | 31.5 KiB | 2024-Oct-25 19:06 |
coxeter-libs-3.0-r1.apk | 267.3 KiB | 2024-Oct-25 19:06 |
cpufetch-1.06-r0.apk | 41.4 KiB | 2024-Oct-25 19:06 |
cowsay-3.04-r2.apk | 18.4 KiB | 2024-Oct-25 19:06 |
console_bridge-dev-1.0.2-r0.apk | 4.7 KiB | 2024-Oct-25 19:06 |
cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-Oct-25 19:06 |
commoncpp-tools-7.0.1-r1.apk | 35.0 KiB | 2024-Oct-25 19:06 |
colorpicker-0_git20201128-r1.apk | 4.0 KiB | 2024-Oct-25 19:06 |
cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-Oct-25 19:06 |
commoncpp-7.0.1-r1.apk | 231.4 KiB | 2024-Oct-25 19:06 |
commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-Oct-25 19:06 |
colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2024-Oct-25 19:06 |
coccinelle-doc-1.1.1-r2.apk | 16.0 KiB | 2024-Oct-25 19:06 |
coccinelle-bash-completion-1.1.1-r2.apk | 2.9 KiB | 2024-Oct-25 19:06 |
cluster-glue-dev-1.0.12-r5.apk | 1014.3 KiB | 2024-Oct-25 19:06 |
cmusfm-0.5.0-r0.apk | 12.6 KiB | 2024-Oct-25 19:06 |
cluster-glue-1.0.12-r5.apk | 242.2 KiB | 2024-Oct-25 19:06 |
commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-Oct-25 19:06 |
colormake-0.9.20170221-r0.apk | 4.0 KiB | 2024-Oct-25 19:06 |
cluster-glue-libs-1.0.12-r5.apk | 103.0 KiB | 2024-Oct-25 19:06 |
coccinelle-1.1.1-r2.apk | 6.7 MiB | 2024-Oct-25 19:06 |
cliquer-dev-1.22-r2.apk | 7.4 KiB | 2024-Oct-25 19:06 |
clatd-1.6-r0.apk | 12.6 KiB | 2024-Oct-25 19:06 |
circuslinux-1.0.3-r1.apk | 18.6 KiB | 2024-Oct-25 19:06 |
cliquer-1.22-r2.apk | 6.8 KiB | 2024-Oct-25 19:06 |
cliquer-libs-1.22-r2.apk | 21.2 KiB | 2024-Oct-25 19:06 |
clevis-extra-pins-0_git20230629-r0.apk | 4.6 KiB | 2024-Oct-25 19:06 |
cimg-3.4.1-r0.apk | 826.0 KiB | 2024-Oct-25 19:06 |
clinfo-3.0.23.01.25-r0.apk | 41.8 KiB | 2024-Oct-25 19:06 |
cliquer-static-1.22-r2.apk | 23.4 KiB | 2024-Oct-25 19:06 |
circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-Oct-25 19:06 |
clipit-1.4.5-r3.apk | 63.3 KiB | 2024-Oct-25 19:06 |
cliquer-tests-1.22-r2.apk | 24.0 KiB | 2024-Oct-25 19:06 |
circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2024-Oct-25 19:06 |
clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-Oct-25 19:06 |
clinfo-doc-3.0.23.01.25-r0.apk | 6.5 KiB | 2024-Oct-25 19:06 |
cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-Oct-25 19:06 |
chim-1.1.2-r1.apk | 1.4 MiB | 2024-Oct-25 19:06 |
charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-Oct-25 19:06 |
cgo-0.6.1-r1.apk | 9.3 KiB | 2024-Oct-25 19:06 |
chim-doc-1.1.2-r1.apk | 2.8 KiB | 2024-Oct-25 19:06 |
chocolate-doom-3.1.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:06 |
chamo-4.0-r0.apk | 6.2 MiB | 2024-Oct-25 19:06 |
checkpolicy-3.6-r0.apk | 335.3 KiB | 2024-Oct-25 19:06 |
chicago95-3.0.1_git20240619-r0.apk | 481.1 KiB | 2024-Oct-25 19:06 |
chamo-byte-4.0-r0.apk | 1.4 MiB | 2024-Oct-25 19:06 |
chocolate-doom-doc-3.1.0-r0.apk | 231.3 KiB | 2024-Oct-25 19:06 |
charls-2.4.2-r0.apk | 56.4 KiB | 2024-Oct-25 19:06 |
chicago95-fonts-3.0.1_git20240619-r0.apk | 214.8 KiB | 2024-Oct-25 19:06 |
chamo-dev-4.0-r0.apk | 4.1 MiB | 2024-Oct-25 19:06 |
cgiirc-0.5.12-r1.apk | 131.8 KiB | 2024-Oct-25 19:06 |
checkpolicy-doc-3.6-r0.apk | 4.1 KiB | 2024-Oct-25 19:06 |
chicago95-icons-3.0.1_git20240619-r0.apk | 12.0 MiB | 2024-Oct-25 19:06 |
cdogs-sdl-2.1.0-r0.apk | 33.3 MiB | 2024-Oct-25 19:06 |
cddlib-static-0.94m-r2.apk | 218.1 KiB | 2024-Oct-25 19:06 |
certbot-dns-pdns-pyc-0.1.1-r0.apk | 3.9 KiB | 2024-Oct-25 19:06 |
cddlib-tools-0.94m-r2.apk | 33.5 KiB | 2024-Oct-25 19:06 |
cdist-7.0.0-r6.apk | 511.0 KiB | 2024-Oct-25 19:06 |
cdist-pyc-7.0.0-r6.apk | 127.6 KiB | 2024-Oct-25 19:06 |
cddlib-doc-0.94m-r2.apk | 863.7 KiB | 2024-Oct-25 19:06 |
certbot-dns-pdns-0.1.1-r0.apk | 8.8 KiB | 2024-Oct-25 19:06 |
burp-3.1.4-r0.apk | 150.7 KiB | 2024-Oct-25 19:06 |
bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-Oct-25 19:06 |
bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-Oct-25 19:06 |
care-2.3.0-r1.apk | 82.1 KiB | 2024-Oct-25 19:06 |
caffeine-ng-lang-4.2.0-r1.apk | 34.4 KiB | 2024-Oct-25 19:06 |
cargo-vendor-filterer-0.5.9-r1.apk | 382.3 KiB | 2024-Oct-25 19:06 |
ccrtp-2.1.2-r0.apk | 85.6 KiB | 2024-Oct-25 19:06 |
cdba-server-1.0-r2.apk | 18.6 KiB | 2024-Oct-25 19:06 |
buildcache-0.28.9-r0.apk | 633.1 KiB | 2024-Oct-25 19:06 |
bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-Oct-25 19:06 |
ccze-0.2.1-r1.apk | 69.4 KiB | 2024-Oct-25 19:06 |
burp-doc-3.1.4-r0.apk | 99.4 KiB | 2024-Oct-25 19:06 |
boinc-gui-7.24.3-r0.apk | 1000.9 KiB | 2024-Oct-25 19:06 |
bwrap-oci-0.2-r1.apk | 13.7 KiB | 2024-Oct-25 19:06 |
cargo-run-bin-1.7.2-r0.apk | 383.5 KiB | 2024-Oct-25 19:06 |
boson-0_git20211219-r0.apk | 14.6 KiB | 2024-Oct-25 19:06 |
catcodec-1.0.5-r2.apk | 11.1 KiB | 2024-Oct-25 19:06 |
bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-Oct-25 19:06 |
boinc-lang-7.24.3-r0.apk | 876.9 KiB | 2024-Oct-25 19:06 |
bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-Oct-25 19:06 |
ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2024-Oct-25 19:06 |
catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-Oct-25 19:06 |
ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-Oct-25 19:06 |
btfs-2.24-r12.apk | 27.1 KiB | 2024-Oct-25 19:06 |
caja-gtkhash-plugin-1.5-r0.apk | 22.3 KiB | 2024-Oct-25 19:06 |
brial-1.2.11-r4.apk | 1012.0 KiB | 2024-Oct-25 19:06 |
boinc-screensaver-7.24.3-r0.apk | 119.2 KiB | 2024-Oct-25 19:06 |
burp-server-3.1.4-r0.apk | 35.9 KiB | 2024-Oct-25 19:06 |
boinc-libs-7.24.3-r0.apk | 197.6 KiB | 2024-Oct-25 19:06 |
boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-Oct-25 19:06 |
brial-dev-1.2.11-r4.apk | 1.7 MiB | 2024-Oct-25 19:06 |
caffeine-ng-4.2.0-r1.apk | 100.4 KiB | 2024-Oct-25 19:06 |
caffeine-ng-doc-4.2.0-r1.apk | 3.2 KiB | 2024-Oct-25 19:06 |
boxes-2.3.1-r0.apk | 70.7 KiB | 2024-Oct-25 19:06 |
bootterm-0.5-r0.apk | 16.6 KiB | 2024-Oct-25 19:06 |
catdoc-0.95-r1.apk | 105.6 KiB | 2024-Oct-25 19:06 |
cddlib-dev-0.94m-r2.apk | 14.1 KiB | 2024-Oct-25 19:06 |
cddlib-0.94m-r2.apk | 157.0 KiB | 2024-Oct-25 19:06 |
btpd-0.16-r2.apk | 62.4 KiB | 2024-Oct-25 19:06 |
catcodec-doc-1.0.5-r2.apk | 4.9 KiB | 2024-Oct-25 19:06 |
castor-0.9.0-r2.apk | 650.4 KiB | 2024-Oct-25 19:06 |
cadence-0.9.2-r0.apk | 1.9 MiB | 2024-Oct-25 19:06 |
cdba-1.0-r2.apk | 7.3 KiB | 2024-Oct-25 19:06 |
btfs-doc-2.24-r12.apk | 2.4 KiB | 2024-Oct-25 19:06 |
bootterm-dbg-0.5-r0.apk | 2.3 KiB | 2024-Oct-25 19:06 |
care-doc-2.3.0-r1.apk | 7.9 KiB | 2024-Oct-25 19:06 |
caps2esc-0.3.2-r0.apk | 4.3 KiB | 2024-Oct-25 19:06 |
ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-Oct-25 19:06 |
bonzomatic-20230615-r0.apk | 587.1 KiB | 2024-Oct-25 19:06 |
btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-Oct-25 19:06 |
cc65-2.19-r0.apk | 8.8 MiB | 2024-Oct-25 19:06 |
ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2024-Oct-25 19:06 |
cargo-run-bin-doc-1.7.2-r0.apk | 5.0 KiB | 2024-Oct-25 19:06 |
base64c-dev-0.2.1-r0.apk | 5.0 KiB | 2024-Oct-25 19:05 |
bchunk-1.2.2-r3.apk | 7.0 KiB | 2024-Oct-25 19:05 |
bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-Oct-25 19:05 |
b2sum-doc-20190729-r2.apk | 2.7 KiB | 2024-Oct-25 19:05 |
bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-Oct-25 19:05 |
avarice-2.14-r4.apk | 75.8 KiB | 2024-Oct-25 19:05 |
avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-Oct-25 19:05 |
batmon-0.0.1-r0.apk | 382.7 KiB | 2024-Oct-25 19:05 |
bananui-clock-0.1.0-r0.apk | 6.6 KiB | 2024-Oct-25 19:05 |
backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-Oct-25 19:05 |
beard-doc-0.4-r0.apk | 2.5 KiB | 2024-Oct-25 19:05 |
bdfr-2.6.2-r1.apk | 130.9 KiB | 2024-Oct-25 19:05 |
bitlbee-facebook-1.2.2-r0.apk | 50.3 KiB | 2024-Oct-25 19:05 |
bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2024-Oct-25 19:05 |
birdtray-1.9.0-r1.apk | 387.8 KiB | 2024-Oct-25 19:05 |
bestline-0.0_git20211108-r0.apk | 18.3 KiB | 2024-Oct-25 19:05 |
bartib-1.0.1-r1.apk | 311.6 KiB | 2024-Oct-25 19:05 |
bkt-0.8.0-r0.apk | 312.9 KiB | 2024-Oct-25 19:05 |
bananui-demos-2.0.0-r0.apk | 7.9 KiB | 2024-Oct-25 19:05 |
bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-Oct-25 19:05 |
blip-0.10-r0.apk | 15.3 KiB | 2024-Oct-25 19:05 |
bitlbee-mastodon-1.4.5-r0.apk | 40.9 KiB | 2024-Oct-25 19:05 |
berry-lang-1.1.0-r0.apk | 89.2 KiB | 2024-Oct-25 19:05 |
boinc-dev-7.24.3-r0.apk | 578.4 KiB | 2024-Oct-25 19:05 |
avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-Oct-25 19:05 |
bitritter-0.1.1-r0.apk | 1.9 MiB | 2024-Oct-25 19:05 |
bananui-dev-2.0.0-r0.apk | 81.1 KiB | 2024-Oct-25 19:05 |
bkt-doc-0.8.0-r0.apk | 7.3 KiB | 2024-Oct-25 19:05 |
bananui-daemons-0.1.0-r0.apk | 37.2 KiB | 2024-Oct-25 19:05 |
bcg729-1.1.1-r0.apk | 32.0 KiB | 2024-Oct-25 19:05 |
blip-doc-0.10-r0.apk | 29.6 KiB | 2024-Oct-25 19:05 |
bgs-0.8-r1.apk | 5.6 KiB | 2024-Oct-25 19:05 |
bees-0.10-r2.apk | 281.3 KiB | 2024-Oct-25 19:05 |
bobcat-4.09.00-r0.apk | 639.9 KiB | 2024-Oct-25 19:05 |
boinc-7.24.3-r0.apk | 1.5 MiB | 2024-Oct-25 19:05 |
avra-1.4.2-r0.apk | 34.2 KiB | 2024-Oct-25 19:05 |
bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-Oct-25 19:05 |
blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-Oct-25 19:05 |
bakelite-0.4.2-r0.apk | 36.1 KiB | 2024-Oct-25 19:05 |
beard-0.4-r0.apk | 3.1 KiB | 2024-Oct-25 19:05 |
bananui-dbg-2.0.0-r0.apk | 172.9 KiB | 2024-Oct-25 19:05 |
bake-2.5.1-r0.apk | 98.5 KiB | 2024-Oct-25 19:05 |
bananui-2.0.0-r0.apk | 48.1 KiB | 2024-Oct-25 19:05 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.8 KiB | 2024-Oct-25 19:05 |
bobcat-dev-4.09.00-r0.apk | 695.9 KiB | 2024-Oct-25 19:05 |
bobcat-doc-4.09.00-r0.apk | 345.4 KiB | 2024-Oct-25 19:05 |
base64c-0.2.1-r0.apk | 4.2 KiB | 2024-Oct-25 19:05 |
b2sum-20190729-r2.apk | 14.6 KiB | 2024-Oct-25 19:05 |
boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-Oct-25 19:05 |
bananui-shell-0.2.0-r0.apk | 87.0 KiB | 2024-Oct-25 19:05 |
bliss-dev-0.77-r1.apk | 93.8 KiB | 2024-Oct-25 19:05 |
barnyard2-2.1.14_git20160413-r1.apk | 110.8 KiB | 2024-Oct-25 19:05 |
bliss-0.77-r1.apk | 55.5 KiB | 2024-Oct-25 19:05 |
bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-Oct-25 19:05 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 737.5 KiB | 2024-Oct-25 19:05 |
bcg729-dev-1.1.1-r0.apk | 3.5 KiB | 2024-Oct-25 19:05 |
bgpq4-1.15-r0.apk | 30.9 KiB | 2024-Oct-25 19:05 |
authenticator-rs-lang-0.7.5-r0.apk | 3.7 KiB | 2024-Oct-25 19:05 |
atlantik-lang-3.5.10_git20240323-r0.apk | 68.6 KiB | 2024-Oct-25 19:05 |
autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-Oct-25 19:05 |
autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-Oct-25 19:05 |
atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-Oct-25 19:05 |
aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-Oct-25 19:05 |
autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-Oct-25 19:05 |
autoconf-policy-0.1-r0.apk | 5.5 KiB | 2024-Oct-25 19:05 |
atlantik-3.5.10_git20240323-r0.apk | 353.8 KiB | 2024-Oct-25 19:05 |
aufs-util-20161219-r3.apk | 159.0 KiB | 2024-Oct-25 19:05 |
authenticator-rs-0.7.5-r0.apk | 1.8 MiB | 2024-Oct-25 19:05 |
atool-0.39.0-r4.apk | 17.7 KiB | 2024-Oct-25 19:05 |
atomicparsley-20240608-r0.apk | 106.9 KiB | 2024-Oct-25 19:05 |
autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-Oct-25 19:05 |
atlantik-doc-3.5.10_git20240323-r0.apk | 79.3 KiB | 2024-Oct-25 19:05 |
atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-Oct-25 19:05 |
autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-Oct-25 19:05 |
aspell-es-1.11-r0.apk | 533.0 KiB | 2024-Oct-25 19:05 |
aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-Oct-25 19:05 |
arc-theme-20221218-r0.apk | 1.4 KiB | 2024-Oct-25 19:05 |
arc-darker-gtk4-20221218-r0.apk | 110.2 KiB | 2024-Oct-25 19:05 |
arc-lighter-gtk3-20221218-r0.apk | 124.6 KiB | 2024-Oct-25 19:05 |
arc-20221218-r0.apk | 1.7 KiB | 2024-Oct-25 19:05 |
arc-lighter-metacity-20221218-r0.apk | 17.3 KiB | 2024-Oct-25 19:05 |
armagetronad-0.2.9.1.1-r0.apk | 1.5 MiB | 2024-Oct-25 19:05 |
arc-lighter-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 19:05 |
arc-gtk4-20221218-r0.apk | 113.5 KiB | 2024-Oct-25 19:05 |
aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-Oct-25 19:05 |
arc-gnome-20221218-r0.apk | 28.6 KiB | 2024-Oct-25 19:05 |
arc-dark-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 19:05 |
apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-Oct-25 19:05 |
arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-Oct-25 19:05 |
arc-lighter-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 19:05 |
arc-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 19:05 |
arc-darker-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 19:05 |
apt-mirror-doc-0.5.4-r0.apk | 4.6 KiB | 2024-Oct-25 19:05 |
arc-gtk3-20221218-r0.apk | 125.9 KiB | 2024-Oct-25 19:05 |
arc-lighter-gtk4-20221218-r0.apk | 112.8 KiB | 2024-Oct-25 19:05 |
arc-dark-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 19:05 |
arc-lighter-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 19:05 |
arc-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 19:05 |
arc-dark-gnome-20221218-r0.apk | 27.1 KiB | 2024-Oct-25 19:05 |
arc-darker-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 19:05 |
arc-dark-gtk2-20221218-r0.apk | 38.4 KiB | 2024-Oct-25 19:05 |
armagetronad-doc-0.2.9.1.1-r0.apk | 91.9 KiB | 2024-Oct-25 19:05 |
arc-darker-gtk3-20221218-r0.apk | 123.7 KiB | 2024-Oct-25 19:05 |
apulse-doc-0.1.13-r2.apk | 2.8 KiB | 2024-Oct-25 19:05 |
arc-dark-gtk4-20221218-r0.apk | 86.2 KiB | 2024-Oct-25 19:05 |
arc-dark-cinnamon-20221218-r0.apk | 68.4 KiB | 2024-Oct-25 19:05 |
aqemu-0.9.4-r3.apk | 1.5 MiB | 2024-Oct-25 19:05 |
arc-darker-gtk2-20221218-r0.apk | 38.5 KiB | 2024-Oct-25 19:05 |
apt-dater-1.0.4-r4.apk | 52.6 KiB | 2024-Oct-25 19:05 |
arc-metacity-20221218-r0.apk | 17.2 KiB | 2024-Oct-25 19:05 |
arc-dark-gtk3-20221218-r0.apk | 93.3 KiB | 2024-Oct-25 19:05 |
arc-dark-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 19:05 |
arc-cinnamon-20221218-r0.apk | 68.0 KiB | 2024-Oct-25 19:05 |
apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-Oct-25 19:05 |
apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-Oct-25 19:05 |
apulse-0.1.13-r2.apk | 33.5 KiB | 2024-Oct-25 19:05 |
arc-darker-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 19:05 |
apmpkg-fish-completion-1.5.1-r3.apk | 2.0 KiB | 2024-Oct-25 19:05 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-Oct-25 19:05 |
anarch-1.0-r1.apk | 90.2 KiB | 2024-Oct-25 19:05 |
apmpkg-1.5.1-r3.apk | 1.4 MiB | 2024-Oct-25 19:05 |
apache2-mod-perl-doc-2.0.13-r1.apk | 302.8 KiB | 2024-Oct-25 19:05 |
apmpkg-bash-completion-1.5.1-r3.apk | 2.2 KiB | 2024-Oct-25 19:05 |
apache2-mod-perl-2.0.13-r1.apk | 628.2 KiB | 2024-Oct-25 19:05 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2024-Oct-25 19:05 |
ansible-bender-pyc-0.10.1-r2.apk | 65.0 KiB | 2024-Oct-25 19:05 |
angband-4.2.5-r0.apk | 22.6 MiB | 2024-Oct-25 19:05 |
amule-2.3.3-r13.apk | 3.8 MiB | 2024-Oct-25 19:05 |
antimicrox-doc-3.4.0-r0.apk | 23.1 KiB | 2024-Oct-25 19:05 |
anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-Oct-25 19:05 |
anari-sdk-static-0.7.2-r0.apk | 192.6 KiB | 2024-Oct-25 19:05 |
apache2-mod-realdoc-1-r1.apk | 4.6 KiB | 2024-Oct-25 19:05 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 50.8 KiB | 2024-Oct-25 19:05 |
apmpkg-zsh-completion-1.5.1-r3.apk | 2.4 KiB | 2024-Oct-25 19:05 |
apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-Oct-25 19:05 |
ansible-bender-0.10.1-r2.apk | 36.3 KiB | 2024-Oct-25 19:05 |
apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-Oct-25 19:05 |
android-apkeep-0.17.0-r0.apk | 1.7 MiB | 2024-Oct-25 19:05 |
amber-0.3.3-r0.apk | 357.2 KiB | 2024-Oct-25 19:05 |
amiitool-2-r2.apk | 6.3 KiB | 2024-Oct-25 19:05 |
amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-Oct-25 19:05 |
anari-sdk-0.7.2-r0.apk | 280.3 KiB | 2024-Oct-25 19:05 |
antimicrox-3.4.0-r0.apk | 1.6 MiB | 2024-Oct-25 19:05 |
anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-Oct-25 19:05 |
apache2-mod-perl-dev-2.0.13-r1.apk | 39.8 KiB | 2024-Oct-25 19:05 |
apache2-mod-perl-dbg-2.0.13-r1.apk | 78.8 KiB | 2024-Oct-25 19:05 |
amule-doc-2.3.3-r13.apk | 281.4 KiB | 2024-Oct-25 19:05 |
amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-Oct-25 19:05 |
ansible-bender-doc-0.10.1-r2.apk | 10.1 KiB | 2024-Oct-25 19:05 |
ansiweather-1.19.0-r1.apk | 4.7 KiB | 2024-Oct-25 19:05 |
ansiweather-doc-1.19.0-r1.apk | 3.0 KiB | 2024-Oct-25 19:05 |
apache2-mod-authnz-external-3.3.3-r0.apk | 6.9 KiB | 2024-Oct-25 19:05 |
apmpkg-doc-1.5.1-r3.apk | 3.0 KiB | 2024-Oct-25 19:05 |
agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-Oct-25 19:05 |
alarmwakeup-0.2.1-r0.apk | 6.3 KiB | 2024-Oct-25 19:05 |
advancemame-3.9-r4.apk | 11.7 MiB | 2024-Oct-25 19:05 |
advancemame-menu-3.9-r4.apk | 876.1 KiB | 2024-Oct-25 19:05 |
agate-3.3.8-r0.apk | 673.0 KiB | 2024-Oct-25 19:05 |
advancemame-doc-3.9-r4.apk | 373.6 KiB | 2024-Oct-25 19:05 |
advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-Oct-25 19:05 |
advancescan-doc-1.18-r1.apk | 7.3 KiB | 2024-Oct-25 19:05 |
aide-doc-0.18.8-r0.apk | 13.8 KiB | 2024-Oct-25 19:05 |
alttab-1.7.1-r0.apk | 34.7 KiB | 2024-Oct-25 19:05 |
alarmwakeup-libs-0.2.1-r0.apk | 3.9 KiB | 2024-Oct-25 19:05 |
agate-openrc-3.3.8-r0.apk | 2.0 KiB | 2024-Oct-25 19:05 |
agrep-0.8.0-r2.apk | 7.8 KiB | 2024-Oct-25 19:05 |
aide-0.18.8-r0.apk | 71.9 KiB | 2024-Oct-25 19:05 |
alarmwakeup-dbg-0.2.1-r0.apk | 18.5 KiB | 2024-Oct-25 19:05 |
alarmwakeup-utils-0.2.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:05 |
advancescan-1.18-r1.apk | 223.0 KiB | 2024-Oct-25 19:05 |
afetch-2.2.0-r1.apk | 9.2 KiB | 2024-Oct-25 19:05 |
afetch-doc-2.2.0-r1.apk | 13.6 KiB | 2024-Oct-25 19:05 |
advancemame-mess-3.9-r4.apk | 3.6 MiB | 2024-Oct-25 19:05 |
alarmwakeup-dev-0.2.1-r0.apk | 2.5 KiB | 2024-Oct-25 19:05 |
alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-Oct-25 19:05 |
a2jmidid-9-r3.apk | 24.9 KiB | 2024-Oct-25 19:05 |
admesh-0.98.5-r0.apk | 23.7 KiB | 2024-Oct-25 19:05 |
admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-Oct-25 19:05 |
a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-Oct-25 19:05 |
abc-0_git20240102-r0.apk | 4.4 MiB | 2024-Oct-25 19:05 |
adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2024-Oct-25 19:05 |
ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-Oct-25 19:05 |
admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-Oct-25 19:05 |
ace-of-penguins-1.4-r3.apk | 141.7 KiB | 2024-Oct-25 19:05 |
adjtimex-1.29-r0.apk | 19.2 KiB | 2024-Oct-25 19:05 |